Home
last modified time | relevance | path

Searched refs:get_nets (Results 1 – 25 of 96) sorted by relevance

1234

/dports/cad/openroad/OpenROAD-2.0/src/dbSta/test/
H A Dsdc_get1.tcl5 report_object_names [get_ports -of_objects [get_nets clk1]]
6 report_object_full_names [get_pins -of_objects [get_nets clk1]]
H A Dread_verilog2.tcl9 report_object_names [get_nets b1out]
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/b200/coregen/chipscope_ila_32.constraints/
H A Dchipscope_ila_32.xdc4 …[get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_C…
5 …[get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_C…
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/b2xxmini/coregen/chipscope_ila.constraints/
H A Dchipscope_ila.xdc4 …[get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_C…
5 …[get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_C…
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/b200/coregen/
H A Dchipscope_ila_32.xdc4 …[get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_C…
5 …[get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_C…
H A Db200_chipscope_ila.xdc4 …[get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_C…
5 …[get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_C…
H A Dchipscope_ila_128.xdc4 …[get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_C…
5 …[get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_C…
H A Dchipscope_ila_256.xdc4 …[get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_C…
5 …[get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_C…
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/b2xxmini/coregen/
H A Dchipscope_ila.xdc4 …[get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_C…
5 …[get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_C…
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/b200/coregen/chipscope_ila_256.constraints/
H A Dchipscope_ila_256.xdc4 …[get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_C…
5 …[get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_C…
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/b200/coregen/chipscope_ila_128.constraints/
H A Dchipscope_ila_128.xdc4 …[get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_C…
5 …[get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_C…
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/b200/coregen/b200_chipscope_ila.constraints/
H A Db200_chipscope_ila.xdc4 …[get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_C…
5 …[get_cells -of_objects [filter [all_fanout -flat -endpoints_only -from [get_nets CONTROL[0]]] IS_C…
/dports/sysutils/ansible/ansible-4.7.0/ansible_collections/cisco/meraki/plugins/modules/
H A Dmeraki_config_template.py241 nets = meraki.get_nets(org_id=org_id)
244 nets = meraki.get_nets(org_id=org_id)
253 nets = meraki.get_nets(org_id=org_id)
295 nets = meraki.get_nets(org_id=org_id)
H A Dmeraki_ms_storm_control.py160 nets = meraki.get_nets(org_id=org_id)
H A Dmeraki_switch_storm_control.py160 nets = meraki.get_nets(org_id=org_id)
H A Dmeraki_mr_settings.py189 nets = meraki.get_nets(org_id=org_id)
H A Dmeraki_site_to_site_vpn.py224 data=meraki.get_nets(org_id=org_id))
H A Dmeraki_malware.py215 nets = meraki.get_nets(org_id=org_id)
/dports/sysutils/ansible2/ansible-2.9.27/lib/ansible/modules/network/meraki/
H A Dmeraki_config_template.py241 nets = meraki.get_nets(org_id=org_id)
244 nets = meraki.get_nets(org_id=org_id)
253 nets = meraki.get_nets(org_id=org_id)
305 nets = meraki.get_nets(org_id=org_id)
/dports/cad/openroad/OpenROAD-2.0/src/pdr/test/
H A Dwrite_gcd_nets.tcl2 foreach net [get_nets *] {
H A Dpdrev_helpers.tcl4 foreach net [get_nets *] {
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/e31x/
H A De31x_timing.xdc14 create_clock -period 100.000 -name pps_ext [get_nets PPS_EXT_IN]
16 create_clock -period 100.000 -name gps_pps [get_nets GPS_PPS]
19 create_clock -period 25.000 -name TCXO_CLK [get_nets TCXO_CLK]
/dports/cad/horizon-eda/horizon-2.1.0/src/board/
H A Dboard_package.hpp32 std::set<UUID> get_nets() const;
/dports/cad/openroad/OpenROAD-2.0/src/rsz/test/
H A Dresizer_helpers.tcl16 set net [get_nets -of $tie_pin]
/dports/cad/horizon-eda/horizon-2.1.0/src/core/tools/
H A Dtool_helper_collect_nets.cpp15 const auto n = brd.packages.at(it.uuid).get_nets(); in nets_from_selection()

1234