Home
last modified time | relevance | path

Searched refs:gsl_rng (Results 1 – 25 of 549) sorted by relevance

12345678910>>...22

/dports/audio/praat/praat-6.2.03/external/gsl/
H A Dgsl_randist.h36 unsigned int gsl_ran_bernoulli (const gsl_rng * r, double p);
53 double gsl_ran_cauchy (const gsl_rng * r, const double a);
56 double gsl_ran_chisq (const gsl_rng * r, const double nu);
83 double gsl_ran_ugaussian (const gsl_rng * r);
84 double gsl_ran_ugaussian_ratio_method (const gsl_rng * r);
96 double gsl_ran_landau (const gsl_rng * r);
111 double gsl_ran_logistic (const gsl_rng * r, const double a);
120 void gsl_ran_multinomial (const gsl_rng * r, const size_t K,
138 unsigned int gsl_ran_poisson (const gsl_rng * r, double mu);
149 double gsl_ran_tdist (const gsl_rng * r, const double nu);
[all …]
H A Dgsl_rng__rng.c27 gsl_rng *
31 gsl_rng *r = (gsl_rng *) malloc (sizeof (gsl_rng));
57 gsl_rng_memcpy (gsl_rng * dest, const gsl_rng * src)
69 gsl_rng *
70 gsl_rng_clone (const gsl_rng * q)
72 gsl_rng *r = (gsl_rng *) malloc (sizeof (gsl_rng));
105 gsl_rng_get (const gsl_rng * r)
162 gsl_rng_max (const gsl_rng * r)
168 gsl_rng_min (const gsl_rng * r)
174 gsl_rng_name (const gsl_rng * r)
[all …]
H A Dgsl_rng.h55 gsl_rng; typedef
130 int gsl_rng_memcpy (gsl_rng * dest, const gsl_rng * src);
131 gsl_rng *gsl_rng_clone (const gsl_rng * r);
133 void gsl_rng_free (gsl_rng * r);
138 const char *gsl_rng_name (const gsl_rng * r);
143 size_t gsl_rng_size (const gsl_rng * r);
144 void * gsl_rng_state (const gsl_rng * r);
151 double gsl_rng_uniform (const gsl_rng * r);
160 gsl_rng_get (const gsl_rng * r) in gsl_rng_get()
168 gsl_rng_uniform (const gsl_rng * r) in gsl_rng_uniform()
[all …]
H A Dgsl_randist__gamma.c27 static double gamma_large (const gsl_rng * r, const double a);
28 static double gamma_frac (const gsl_rng * r, const double a);
41 gsl_ran_gamma_knuth (const gsl_rng * r, const double a, const double b) in gsl_ran_fdist()
61 gsl_ran_gamma_int (const gsl_rng * r, const unsigned int a)
87 gamma_large (const gsl_rng * r, const double a)
113 gamma_frac (const gsl_rng * r, const double a)
178 gsl_ran_gamma_mt (const gsl_rng * r, const double a, const double b)
184 gsl_ran_gamma (const gsl_rng * r, const double a, const double b)
/dports/math/gsl/gsl-2.7/randist/
H A Dgsl_randist.h38 unsigned int gsl_ran_bernoulli (const gsl_rng * r, double p);
55 double gsl_ran_cauchy (const gsl_rng * r, const double a);
58 double gsl_ran_chisq (const gsl_rng * r, const double nu);
85 double gsl_ran_ugaussian (const gsl_rng * r);
86 double gsl_ran_ugaussian_ratio_method (const gsl_rng * r);
112 int gsl_ran_wishart (const gsl_rng * r,
130 double gsl_ran_landau (const gsl_rng * r);
145 double gsl_ran_logistic (const gsl_rng * r, const double a);
154 void gsl_ran_multinomial (const gsl_rng * r, const size_t K,
183 double gsl_ran_tdist (const gsl_rng * r, const double nu);
[all …]
/dports/math/gsl/gsl-2.7/rng/
H A Drng.c27 gsl_rng *
31 gsl_rng *r = (gsl_rng *) malloc (sizeof (gsl_rng)); in gsl_rng_alloc()
57 gsl_rng_memcpy (gsl_rng * dest, const gsl_rng * src) in gsl_rng_memcpy()
69 gsl_rng *
70 gsl_rng_clone (const gsl_rng * q) in gsl_rng_clone()
72 gsl_rng *r = (gsl_rng *) malloc (sizeof (gsl_rng)); in gsl_rng_clone()
104 gsl_rng_max (const gsl_rng * r) in gsl_rng_max()
110 gsl_rng_min (const gsl_rng * r) in gsl_rng_min()
116 gsl_rng_name (const gsl_rng * r) in gsl_rng_name()
122 gsl_rng_size (const gsl_rng * r) in gsl_rng_size()
[all …]
H A Dgsl_rng.h56 gsl_rng; typedef
131 int gsl_rng_memcpy (gsl_rng * dest, const gsl_rng * src);
132 gsl_rng *gsl_rng_clone (const gsl_rng * r);
134 void gsl_rng_free (gsl_rng * r);
139 const char *gsl_rng_name (const gsl_rng * r);
144 size_t gsl_rng_size (const gsl_rng * r);
145 void * gsl_rng_state (const gsl_rng * r);
147 void gsl_rng_print_state (const gsl_rng * r);
159 gsl_rng_get (const gsl_rng * r) in gsl_rng_get()
165 gsl_rng_uniform (const gsl_rng * r) in gsl_rng_uniform()
[all …]
/dports/math/py-pygsl/pygsl-2.3.0/Include/pygsl/
H A Drng_helpers.h22 PyGSL_rng_to_double(PyGSL_rng *rng, PyObject *args, double (*evaluator)(const gsl_rng *));
25 PyGSL_rng_d_to_double(PyGSL_rng *rng, PyObject *args, double (*evaluator)(const gsl_rng *, const do…
28 PyGSL_rng_dd_to_double(PyGSL_rng *rng, PyObject *args, double (*evaluator)(const gsl_rng *, const d…
34 PyGSL_rng_ui_to_double(PyGSL_rng *rng, PyObject *args, double (*evaluator)(const gsl_rng *, unsigne…
37 PyGSL_rng_d_to_ui(PyGSL_rng *rng, PyObject *args, unsigned int (*evaluator)(const gsl_rng *, doubl…
40 PyGSL_rng_dui_to_ui(PyGSL_rng *rng, PyObject *args, unsigned int (*evaluator)(const gsl_rng *, dou…
43 PyGSL_rng_dd_to_ui(PyGSL_rng *rng, PyObject *args, unsigned int (*evaluator)(const gsl_rng *, doub…
46 PyGSL_rng_to_dd(PyGSL_rng *rng, PyObject *args, void (*evaluator)(const gsl_rng *, double *, double…
52 PyGSL_rng_to_ddd(PyGSL_rng *rng, PyObject *args, void (*evaluator)(const gsl_rng *, double *, doubl…
55 PyGSL_rng_to_nd(PyGSL_rng *rng, PyObject *args, void (*evaluator)(const gsl_rng *, size_t n, double…
[all …]
/dports/math/freefem++/FreeFem-sources-4.6/plugin/seq/
H A Dgsl.cpp282 gsl_rng **pp = PGetAny< gsl_rng * >(x); in init_gsl_rng()
288 gsl_rng **pp = PGetAny< gsl_rng * >(x); in delete_gsl_rng()
298 gsl_rng **init_gsl_rng_type(gsl_rng **pp, const gsl_rng_type *g) { in init_gsl_rng_type()
303 gsl_rng **set_gsl_rng_type(gsl_rng **pp, const gsl_rng_type *g) { in set_gsl_rng_type()
312 gsl_rng **set_gsl_cpy(gsl_rng **pp, gsl_rng **gg) { in set_gsl_cpy()
331 long gsl_rng_set(gsl_rng **pr, long s) { in gsl_rng_set()
384 Dcl_Type< gsl_rng ** >(init_gsl_rng, delete_gsl_rng); in Load_Init()
392 zzzfff->Add("gslrng", atype< gsl_rng ** >( )); in Load_Init()
396 "<-", new OneOperator2< gsl_rng **, gsl_rng **, const gsl_rng_type * >(init_gsl_rng_type)); in Load_Init()
429 "=", new OneOperator2< gsl_rng **, gsl_rng **, const gsl_rng_type * >(set_gsl_rng_type)); in Load_Init()
[all …]
H A Dff_gsl_awk.hpp760 double gsl_ran_cauchy__(gsl_rng **const &x, double const &y) { in gsl_ran_cauchy__()
761 return gsl_ran_cauchy((const gsl_rng *)*x, (const double)y); in gsl_ran_cauchy__()
768 double gsl_ran_chisq__(gsl_rng **const &x, double const &y) { in gsl_ran_chisq__()
769 return gsl_ran_chisq((const gsl_rng *)*x, (const double)y); in gsl_ran_chisq__()
804 double gsl_ran_gamma_int__(gsl_rng **const &x, long const &y) { in gsl_ran_gamma_int__()
836 double gsl_ran_ugaussian__(gsl_rng **const &x) { return gsl_ran_ugaussian((const gsl_rng *)*x); } in gsl_ran_ugaussian__()
838 double gsl_ran_ugaussian_ratio_method__(gsl_rng **const &x) { in gsl_ran_ugaussian_ratio_method__()
839 return gsl_ran_ugaussian_ratio_method((const gsl_rng *)*x); in gsl_ran_ugaussian_ratio_method__()
860 double gsl_ran_landau__(gsl_rng **const &x) { return gsl_ran_landau((const gsl_rng *)*x); } in gsl_ran_landau__()
940 double gsl_ran_tdist__(gsl_rng **const &x, double const &y) { in gsl_ran_tdist__()
[all …]
/dports/math/R-cran-gsl/gsl/src/
H A Drng.c11 gsl_rng* get_rng_from_sexp(SEXP rng) { in get_rng_from_sexp()
12 gsl_rng* gen = NULL; in get_rng_from_sexp()
79 gsl_rng* gen; in rng_set()
94 gsl_rng* gen; in rng_clone()
107 gsl_rng* gen; in rng_name()
116 gsl_rng* gen; in rng_min()
129 gsl_rng* gen; in rng_max()
141 gsl_rng* gen; in rng_get()
163 gsl_rng* gen; in rng_uniform()
183 gsl_rng* gen; in rng_uniform_pos()
[all …]
/dports/math/p5-Math-GSL/Math-GSL-0.43/swig/
H A DRandist.i6 void gsl_ran_dir_2d (const gsl_rng * r, double *OUTPUT, double *OUTPUT);
7 void gsl_ran_dir_2d_trig_method (const gsl_rng * r, double *OUTPUT, double *OUTPUT);
8 void gsl_ran_dir_3d (const gsl_rng * r, double *OUTPUT, double *OUTPUT, double *OUTPUT);
9 void gsl_ran_bivariate_gaussian (const gsl_rng * r, double sigma_x, double sigma_y, double rho, dou…
41 array_wrapper * gsl_ran_dirichlet_wrapper(const gsl_rng * r, size_t SIZE, const double ARRAY[]);
53 array_wrapper * gsl_ran_multinomial_wrapper (const gsl_rng * r, size_t SIZE, const double ARRAY[], …
65 array_wrapper * gsl_ran_dir_nd_wrapper (const gsl_rng * r, size_t n);
76 array_wrapper * gsl_ran_dirichlet_wrapper(const gsl_rng * r, size_t K, const double alpha[]){ in gsl_ran_dirichlet_wrapper()
95 …array_wrapper * gsl_ran_multinomial_wrapper (const gsl_rng * r, size_t K, const double p[], unsign… in gsl_ran_multinomial_wrapper()
114 array_wrapper * gsl_ran_dir_nd_wrapper (const gsl_rng * r, size_t n) { in gsl_ran_dir_nd_wrapper()
/dports/math/dieharder/dieharder-3.31.1/include/dieharder/
H A Dlibdieharder.h129 unsigned int get_uint_rand(gsl_rng *gsl_rng);
130 void get_rand_bits(void *result,unsigned int rsize,unsigned int nbits,gsl_rng *gsl_rng);
132 void get_rand_pattern(void *result,unsigned int rsize,int *pattern,gsl_rng *gsl_rng);
236 unsigned int file_input_get_rewind_cnt(gsl_rng *rng);
237 off_t file_input_get_rtot(gsl_rng *rng);
238 void file_input_set_rtot(gsl_rng *rng,unsigned int value);
274 gsl_rng *rng; /* global gsl random number generator */
/dports/math/p5-Math-GSL/Math-GSL-0.43/xs/
H A DRandist_wrap.2.2.1.c2598 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2649 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2700 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2763 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2844 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3119 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3404 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3493 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3569 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3665 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
[all …]
H A DRandist_wrap.2.2.c2598 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2649 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2700 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2763 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2844 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3119 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3404 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3493 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3569 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3665 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
[all …]
H A DRandist_wrap.2.3.c2598 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2649 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2700 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2763 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2844 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3119 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3404 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3493 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3569 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3665 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
[all …]
H A DRandist_wrap.2.4.c2598 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2649 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2700 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2763 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2844 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3119 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3404 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3493 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3569 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3665 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
[all …]
H A DRandist_wrap.1.16.c2596 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2647 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2698 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2761 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2842 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3117 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3402 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3491 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3567 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3663 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
[all …]
H A DRandist_wrap.2.0.c2596 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2647 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2698 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2761 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2842 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3117 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3402 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3491 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3567 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3663 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
[all …]
H A DRandist_wrap.2.1.c2596 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2647 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2698 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2761 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2842 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3117 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3402 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3491 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3567 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3663 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
[all …]
H A DRandist_wrap.1.15.c2596 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2647 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2698 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2761 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2842 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3117 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3402 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3491 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3567 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3663 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
[all …]
H A DRandist_wrap.2.6.c2598 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2649 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2700 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2763 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2844 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3119 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3404 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3493 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3569 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3665 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
[all …]
H A DRandist_wrap.2.7.c2598 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2649 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2700 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2763 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2844 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3119 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3404 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3493 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3569 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3665 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
[all …]
H A DRandist_wrap.2.5.c2598 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2649 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2700 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2763 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
2844 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3119 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3404 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3493 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3569 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
3665 gsl_rng *arg1 = (gsl_rng *) 0 ; in XS()
[all …]
/dports/math/gsl/gsl-2.7/linalg/
H A Dtest_common.c28 static int create_random_vector(gsl_vector * v, gsl_rng * r);
29 static int create_random_matrix(gsl_matrix * m, gsl_rng * r);
30 static int create_posdef_matrix(gsl_matrix * m, gsl_rng * r);
34 create_random_vector(gsl_vector * v, gsl_rng * r) in create_random_vector()
49 create_random_complex_vector(gsl_vector_complex * v, gsl_rng * r) in create_random_complex_vector()
66 create_random_matrix(gsl_matrix * m, gsl_rng * r) in create_random_matrix()
85 create_random_complex_matrix(gsl_matrix_complex * m, gsl_rng * r) in create_random_complex_matrix()
108 create_symm_matrix(gsl_matrix * m, gsl_rng * r) in create_symm_matrix()
129 create_herm_matrix(gsl_matrix_complex * m, gsl_rng * r) in create_herm_matrix()
199 create_posdef_matrix(gsl_matrix * m, gsl_rng * r) in create_posdef_matrix()
[all …]

12345678910>>...22