Home
last modified time | relevance | path

Searched refs:in_comment (Results 1 – 25 of 777) sorted by relevance

12345678910>>...32

/dports/security/vaultwarden/vaultwarden-1.23.1/cargo-crates/chrono-tz-0.6.0/tz/
H A Dziguard.awk35 in_comment = /^#/
42 uncomment = in_comment
44 comment_out = !in_comment
53 && (!$(in_comment + 4) || 1968 < $(in_comment + 4)))
58 uncomment = in_comment
60 comment_out = !in_comment
70 || ($(in_comment + 2) == "-" && $(in_comment + 3) == "CAT" \
71 && ((1994 <= $(in_comment + 4) && $(in_comment + 4) <= 2017) \
76 || ($(in_comment + 9) == 0 && $(in_comment + 10) == "CAT")) \
77 : $(in_comment + 1) == "2:00" && $(in_comment + 2) == "Namibia") \
[all …]
/dports/devel/R-cran-tzdb/tzdb/inst/tzdata/
H A Dziguard.awk35 in_comment = /^#/
42 uncomment = in_comment
44 comment_out = !in_comment
53 && (!$(in_comment + 4) || 1968 < $(in_comment + 4)))
58 uncomment = in_comment
60 comment_out = !in_comment
70 || ($(in_comment + 2) == "-" && $(in_comment + 3) == "CAT" \
71 && ((1994 <= $(in_comment + 4) && $(in_comment + 4) <= 2017) \
76 || ($(in_comment + 9) == 0 && $(in_comment + 10) == "CAT")) \
77 : $(in_comment + 1) == "2:00" && $(in_comment + 2) == "Namibia") \
[all …]
/dports/www/zola/zola-0.15.2/cargo-crates/chrono-tz-0.6.0/tz/
H A Dziguard.awk35 in_comment = /^#/
42 uncomment = in_comment
44 comment_out = !in_comment
53 && (!$(in_comment + 4) || 1968 < $(in_comment + 4)))
58 uncomment = in_comment
60 comment_out = !in_comment
70 || ($(in_comment + 2) == "-" && $(in_comment + 3) == "CAT" \
71 && ((1994 <= $(in_comment + 4) && $(in_comment + 4) <= 2017) \
76 || ($(in_comment + 9) == 0 && $(in_comment + 10) == "CAT")) \
77 : $(in_comment + 1) == "2:00" && $(in_comment + 2) == "Namibia") \
[all …]
/dports/devel/grcov/grcov-0.8.2/cargo-crates/chrono-tz-0.5.3/tz/
H A Dziguard.awk28 in_comment = /^#/
35 uncomment = in_comment
37 comment_out = !in_comment
46 && (!$(in_comment + 4) || 1968 < $(in_comment + 4)))
51 uncomment = in_comment
53 comment_out = !in_comment
63 || (1994 <= $(in_comment + 4) && $(in_comment + 4) <= 2017) \
64 || in_comment + 3 == NF))
68 || ($(in_comment + 9) == 0 && $(in_comment + 10) == "CAT")) \
69 : $(in_comment + 1) == "2:00" && $(in_comment + 2) == "Namibia") \
[all …]
/dports/textproc/angle-grinder/angle-grinder-0.18.0/cargo-crates/chrono-tz-0.5.3/tz/
H A Dziguard.awk28 in_comment = /^#/
35 uncomment = in_comment
37 comment_out = !in_comment
46 && (!$(in_comment + 4) || 1968 < $(in_comment + 4)))
51 uncomment = in_comment
53 comment_out = !in_comment
63 || (1994 <= $(in_comment + 4) && $(in_comment + 4) <= 2017) \
64 || in_comment + 3 == NF))
68 || ($(in_comment + 9) == 0 && $(in_comment + 10) == "CAT")) \
69 : $(in_comment + 1) == "2:00" && $(in_comment + 2) == "Namibia") \
[all …]
/dports/devel/tokei/tokei-12.1.2/cargo-crates/chrono-tz-0.5.2/tz/
H A Dziguard.awk28 in_comment = /^#/
35 uncomment = in_comment
37 comment_out = !in_comment
46 && (!$(in_comment + 4) || 1968 < $(in_comment + 4)))
51 uncomment = in_comment
53 comment_out = !in_comment
63 || (1994 <= $(in_comment + 4) && $(in_comment + 4) <= 2017) \
64 || in_comment + 3 == NF))
68 || ($(in_comment + 9) == 0 && $(in_comment + 10) == "CAT")) \
69 : $(in_comment + 1) == "2:00" && $(in_comment + 2) == "Namibia") \
[all …]
/dports/sysutils/onefetch/onefetch-2.10.2/cargo-crates/chrono-tz-0.5.3/tz/
H A Dziguard.awk28 in_comment = /^#/
35 uncomment = in_comment
37 comment_out = !in_comment
46 && (!$(in_comment + 4) || 1968 < $(in_comment + 4)))
51 uncomment = in_comment
53 comment_out = !in_comment
63 || (1994 <= $(in_comment + 4) && $(in_comment + 4) <= 2017) \
64 || in_comment + 3 == NF))
68 || ($(in_comment + 9) == 0 && $(in_comment + 10) == "CAT")) \
69 : $(in_comment + 1) == "2:00" && $(in_comment + 2) == "Namibia") \
[all …]
/dports/sysutils/flowgger/flowgger-0.2.10/cargo-crates/chrono-tz-0.5.1/tz/
H A Dziguard.awk28 in_comment = /^#/
35 uncomment = in_comment
37 comment_out = !in_comment
46 && (!$(in_comment + 4) || 1968 < $(in_comment + 4)))
51 uncomment = in_comment
53 comment_out = !in_comment
63 || (1994 <= $(in_comment + 4) && $(in_comment + 4) <= 2017) \
64 || in_comment + 3 == NF))
68 || ($(in_comment + 9) == 0 && $(in_comment + 10) == "CAT")) \
69 : $(in_comment + 1) == "2:00" && $(in_comment + 2) == "Namibia") \
[all …]
/dports/security/vaultwarden/vaultwarden-1.23.1/cargo-crates/openssl-src-300.0.2+3.0.0/openssl/util/
H A Dcheck-format.pl215 $in_comment = 0;
290 if ($in_comment != 0 && $in_comment != 1) { # in multi-line comment but not on its first line
292 if ($in_comment > 0) { # not at its end
312 …if (($in_comment == 0 || $in_comment == 1) # no comment, intra-line comment, or begin of multi-lin…
319 …if (($in_comment == 0 || $in_comment < 0) # (no commment,) intra-line comment or end of multi-line…
521 $in_comment++;
529 if ($in_comment == 0) {
554 if ($in_comment > 0) {
570 $in_comment = 1;
612 …my $in_multiline_comment = ($in_comment > 1 || $in_comment < 0); # $in_multiline_comment refers to…
[all …]
/dports/security/arti/arti-9d0ede26801cdb182daa85c3eb5f0058dc178eb6/cargo-crates/openssl-src-300.0.2+3.0.0/openssl/util/
H A Dcheck-format.pl215 $in_comment = 0;
290 if ($in_comment != 0 && $in_comment != 1) { # in multi-line comment but not on its first line
292 if ($in_comment > 0) { # not at its end
312 …if (($in_comment == 0 || $in_comment == 1) # no comment, intra-line comment, or begin of multi-lin…
319 …if (($in_comment == 0 || $in_comment < 0) # (no commment,) intra-line comment or end of multi-line…
521 $in_comment++;
529 if ($in_comment == 0) {
554 if ($in_comment > 0) {
570 $in_comment = 1;
612 …my $in_multiline_comment = ($in_comment > 1 || $in_comment < 0); # $in_multiline_comment refers to…
[all …]
/dports/security/acmed/acmed-0.18.0/cargo-crates/openssl-src-300.0.2+3.0.0/openssl/util/
H A Dcheck-format.pl215 $in_comment = 0;
290 if ($in_comment != 0 && $in_comment != 1) { # in multi-line comment but not on its first line
292 if ($in_comment > 0) { # not at its end
312 …if (($in_comment == 0 || $in_comment == 1) # no comment, intra-line comment, or begin of multi-lin…
319 …if (($in_comment == 0 || $in_comment < 0) # (no commment,) intra-line comment or end of multi-line…
521 $in_comment++;
529 if ($in_comment == 0) {
554 if ($in_comment > 0) {
570 $in_comment = 1;
612 …my $in_multiline_comment = ($in_comment > 1 || $in_comment < 0); # $in_multiline_comment refers to…
[all …]
/dports/textproc/mdbook-linkcheck/mdbook-linkcheck-0.7.6/cargo-crates/openssl-src-300.0.2+3.0.0/openssl/util/
H A Dcheck-format.pl215 $in_comment = 0;
290 if ($in_comment != 0 && $in_comment != 1) { # in multi-line comment but not on its first line
292 if ($in_comment > 0) { # not at its end
312 …if (($in_comment == 0 || $in_comment == 1) # no comment, intra-line comment, or begin of multi-lin…
319 …if (($in_comment == 0 || $in_comment < 0) # (no commment,) intra-line comment or end of multi-line…
521 $in_comment++;
529 if ($in_comment == 0) {
554 if ($in_comment > 0) {
570 $in_comment = 1;
612 …my $in_multiline_comment = ($in_comment > 1 || $in_comment < 0); # $in_multiline_comment refers to…
[all …]
/dports/security/openssl-quictls/openssl-7f2ab56a2b842b8e6fefc7b9d20eb5ff9c6ef151/util/
H A Dcheck-format.pl215 $in_comment = 0;
290 if ($in_comment != 0 && $in_comment != 1) { # in multi-line comment but not on its first line
292 if ($in_comment > 0) { # not at its end
312 …if (($in_comment == 0 || $in_comment == 1) # no comment, intra-line comment, or begin of multi-lin…
319 …if (($in_comment == 0 || $in_comment < 0) # (no commment,) intra-line comment or end of multi-line…
521 $in_comment++;
529 if ($in_comment == 0) {
554 if ($in_comment > 0) {
570 $in_comment = 1;
612 …my $in_multiline_comment = ($in_comment > 1 || $in_comment < 0); # $in_multiline_comment refers to…
[all …]
/dports/security/openssl-devel/openssl-3.0.2/util/
H A Dcheck-format.pl215 $in_comment = 0;
290 if ($in_comment != 0 && $in_comment != 1) { # in multi-line comment but not on its first line
292 if ($in_comment > 0) { # not at its end
312 …if (($in_comment == 0 || $in_comment == 1) # no comment, intra-line comment, or begin of multi-lin…
319 …if (($in_comment == 0 || $in_comment < 0) # (no commment,) intra-line comment or end of multi-line…
521 $in_comment++;
529 if ($in_comment == 0) {
554 if ($in_comment > 0) {
570 $in_comment = 1;
612 …my $in_multiline_comment = ($in_comment > 1 || $in_comment < 0); # $in_multiline_comment refers to…
[all …]
/dports/audio/lv2/lv2-1.18.2/plugins/
H A Dliterasc.py32 in_comment = False
54 in_comment = True
58 elif in_comment and prev_c == '*' and c == '/':
63 in_comment = False
77 in_comment = False
81 if in_comment:
86 in_comment = False
91 in_comment = True
96 if in_comment:
/dports/audio/audacity/audacity-Audacity-3.1.3/lib-src/lv2/lv2/plugins/
H A Dliterasc.py32 in_comment = False
54 in_comment = True
58 elif in_comment and prev_c == '*' and c == '/':
63 in_comment = False
77 in_comment = False
81 if in_comment:
86 in_comment = False
91 in_comment = True
96 if in_comment:
/dports/games/tomenet/tomenet-4.6.0/src/preproc/
H A Dpreproc.c58 char *cptr, *in_comment = NULL, *out_comment = NULL, *prev_in_comment; local
325 if (out_comment) in_comment = out_comment = NULL;
327 if (!in_comment) {
328 if (in_comment_block) in_comment = line_mod;
330 in_comment = strstr(prev_in_comment, "/*");
331 if (in_comment) prev_in_comment = in_comment + 2;
334 if (in_comment) {
336 else out_comment = strstr(in_comment, "*/");
338 while ((cptr = strstr(in_comment, "#"))) {
346 } while (in_comment && out_comment);
[all …]
H A Dpreproc-win.c58 char *cptr, *in_comment = NULL, *out_comment = NULL, *prev_in_comment; local
325 if (out_comment) in_comment = out_comment = NULL;
327 if (!in_comment) {
328 if (in_comment_block) in_comment = line_mod;
330 in_comment = strstr(prev_in_comment, "/*");
331 if (in_comment) prev_in_comment = in_comment + 2;
334 if (in_comment) {
336 else out_comment = strstr(in_comment, "*/");
338 while ((cptr = strstr(in_comment, "#"))) {
346 } while (in_comment && out_comment);
[all …]
/dports/sysutils/vector/vector-0.10.0/cargo-crates/krb5-src-0.2.4+1.18.2/krb5/src/util/
H A Dkrb5-check-copyright.py71 in_comment = False
76 if not in_comment:
80 if not in_comment and '/*' in line:
84 in_comment = True
85 elif in_comment and '*/' not in line:
87 elif in_comment:
93 in_comment = False
/dports/security/krb5/krb5-1.19.2/src/util/
H A Dkrb5-check-copyright.py71 in_comment = False
76 if not in_comment:
80 if not in_comment and '/*' in line:
84 in_comment = True
85 elif in_comment and '*/' not in line:
87 elif in_comment:
93 in_comment = False
/dports/devel/kdevelop/kdevelop-21.12.3/plugins/qmljs/nodejsmodules/
H A Didltojs.py159 in_comment = 'none'
170 if in_comment == 'singleline':
172 in_comment = 'none'
175 if in_comment == 'multiline':
177 in_comment = 'none'
181 in_comment = 'multiline'
185 in_comment = 'singleline'
190 in_comment = 'singleline'
/dports/lang/parrot/parrot-8.1.0/t/codingstd/
H A Dc_indent.t57 in_comment => 0,
69 $state{in_comment} = 0, next if $state{in_comment} &&
72 next if $state{in_comment};
73 $state{in_comment} = 1
195 !$state{in_comment} &&
205 !$state{in_comment} &&
247 $state->{in_comment},
/dports/lang/mit-scheme/mit-scheme-9.2/src/microcode/
H A Dsyntax.c789 in_comment = 0;
814 in_comment = 0;
817 in_comment = 1;
822 in_comment = 2;
827 in_comment = 3;
832 in_comment = 2;
837 in_comment = 1;
842 in_comment = 2;
847 in_comment = 3;
919 in_comment = 1;
[all …]
/dports/lang/parrot/parrot-8.1.0/tools/dev/
H A Das2c.pl70 my ($in_comment);
71 $in_comment = 1;
78 if ($in_comment) {
90 $in_comment = 0;
98 elsif ($in_comment) {
/dports/print/texlive-base/texlive-20150521-source/texk/texlive/linked_scripts/latexpand/
H A Dlatexpand100 my $in_comment = 0;
106 $in_comment = 1;
124 return $in_comment;
150 my $in_comment = process_file($full_filename, $prefix . " ");
153 } elsif ($in_comment) {
167 my $in_comment = process_file($full_filename, $prefix . " ");
170 } elsif ($in_comment) {

12345678910>>...32