Home
last modified time | relevance | path

Searched refs:indented (Results 1 – 25 of 6562) sorted by relevance

12345678910>>...263

/dports/textproc/mdocml/mandoc-1.14.5/regress/man/IP/
H A Dwidth.out_ascii12 indented
16 indented
20 indented
24 indented
28 indented
32 indented
50 indented
54 indented
58 indented
62 indented
[all …]
H A Dmanyargs.out_ascii6 IP-manyargs - too many header args for indented blocks
11 tag1 indented text
15 tag2 indented text
19 tag3 indented text
23 tag1 indented
29 tag2 indented
35 tag3 indented
H A Dliteral.out_html4 <dd>indented regular text</dd>
15 indented
29 into indented paragraph</a></h2>
39 indented
43 indented regular text</dd>
49 out of indented paragraph</a></h2>
53 <dd>indented regular text
55 indented
/dports/textproc/mdocml/mandoc-1.14.5/regress/man/TP/
H A Dwidth.out_ascii12 indented
16 indented
20 indented
24 indented
28 indented
32 indented
47 indented
51 indented
55 indented
59 indented
[all …]
H A Dmanyargs.out_ascii6 TP-manyargs - too many header args for indented blocks
11 tag1 indented text
15 tag2 indented text
19 tag3 indented text
23 tag1 indented
29 tag2 indented
35 tag3 indented
/dports/converters/p5-LaTeXML/LaTeXML-0.8.6/t/structure/
H A Dcsquotes.tex28 < A long quotation latex would show indented.
29 A long quotation latex would show indented.
30 A long quotation latex would show indented.
31 A long quotation latex would show indented.
32 A long quotation latex would show indented.
33 A long quotation latex would show indented.
34 A long quotation latex would show indented.
35 A long quotation latex would show indented.
36 A long quotation latex would show indented.
37 A long quotation latex would show indented.
[all …]
/dports/editors/xmlcopyeditor/xmlcopyeditor/src/
H A Dlocationpanel.cpp116 wxString indented; in indentStructure() local
129 indented += '\n'; in indentStructure()
132 indented += *s; in indentStructure()
138 indented += *s; in indentStructure()
142 indented += '\n'; in indentStructure()
152 indented += '\n'; in indentStructure()
157 indented += *s; in indentStructure()
158 indented += '\n'; in indentStructure()
170 indented += *s; in indentStructure()
172 indented += ' '; in indentStructure()
[all …]
/dports/devel/nuitka/Nuitka-0.6.17/nuitka/codegen/
H A DErrorCodes.py34 from .Indentation import indented
105 indented(
115 "var_description_code": indented(
125 indented(
134 "var_description_code": indented(
250 "set_exception": indented(set_exception),
251 "release_temps": indented(getErrorExitReleaseCode(context)),
252 "var_description_code": indented(
294 "set_exception": indented(set_exception),
295 "release_temps": indented(getErrorExitReleaseCode(context)),
[all …]
H A DGeneratorCodes.py33 from .Indentation import indented
105 "function_cleanup": indented(function_cleanup),
112 "function_cleanup": indented(function_cleanup)
147 "function_body": indented(function_codes.codes),
148 "heap_declaration": indented(heap_declaration),
149 "function_local_types": indented(local_type_decl),
150 "function_var_inits": indented(function_locals),
151 "function_dispatch": indented(getYieldReturnDispatchCode(context)),
188 "closure_copy": indented(closure_copy, 0, True),
213 "closure_copy": indented(closure_copy, 0, True),
/dports/textproc/mdocml/mandoc-1.14.5/regress/man/RS/
H A Dbroken.out_ascii6 RS-broken - indented blocks broken by other blocks
10 indented
12 still indented
14 indented
19 still indented
21 indented
26 still indented
28 indented
33 still indented
35 indented
/dports/textproc/scdoc/scdoc-1.11.2/test/
H A Dindent8 Not indented
17 Not indented
19 Not indented
27 Not indented
30 Not indented
51 Not indented
54 Not indented
63 This block is indented.
73 This block is indented.
/dports/lang/perl5.34/perl-5.34.0/cpan/Test-Simple/t/Legacy/subtest/
H A Dbasic.t38 my $indented = $tb->child;
39 $indented->$plan('no_plan');
40 $indented->$ok( 1, "We're on 1" );
41 $indented->$ok( 1, "We're on 2" );
42 $indented->$ok( 1, "We're on 3" );
43 $indented->$finalize;
76 my $indented = $tb->child;
77 $indented->$plan('no_plan');
78 $indented->$ok( 1, "We're on 1" );
86 $indented->$ok( 1, 'after child' );
[all …]
/dports/lang/perl5.32/perl-5.32.1/cpan/Test-Simple/t/Legacy/subtest/
H A Dbasic.t38 my $indented = $tb->child;
39 $indented->$plan('no_plan');
40 $indented->$ok( 1, "We're on 1" );
41 $indented->$ok( 1, "We're on 2" );
42 $indented->$ok( 1, "We're on 3" );
43 $indented->$finalize;
76 my $indented = $tb->child;
77 $indented->$plan('no_plan');
78 $indented->$ok( 1, "We're on 1" );
86 $indented->$ok( 1, 'after child' );
[all …]
/dports/lang/lfe/lfe-1.3/dev/
H A Dtest_docs.lfe5 This line and the next are indented 3.
16 3 lines where the 2nd is indented 3
22 4 lines where this is indented 4
23 this is indented 4 as well
24 while this is one is indented only 2
25 and this one is indented 6."
30 1 line indented 3
32 indented 3 just skipped a blank line."
40 which was indented 3
47 3 lines where the 2nd is indented 9
[all …]
/dports/lang/perl5.30/perl-5.30.3/cpan/Test-Simple/t/Legacy/subtest/
H A Dbasic.t38 my $indented = $tb->child;
39 $indented->$plan('no_plan');
40 $indented->$ok( 1, "We're on 1" );
41 $indented->$ok( 1, "We're on 2" );
42 $indented->$ok( 1, "We're on 3" );
43 $indented->$finalize;
76 my $indented = $tb->child;
77 $indented->$plan('no_plan');
78 $indented->$ok( 1, "We're on 1" );
86 $indented->$ok( 1, 'after child' );
[all …]
/dports/devel/p5-Test-Simple/Test-Simple-1.302188/t/Legacy/subtest/
H A Dbasic.t38 my $indented = $tb->child;
39 $indented->$plan('no_plan');
40 $indented->$ok( 1, "We're on 1" );
41 $indented->$ok( 1, "We're on 2" );
42 $indented->$ok( 1, "We're on 3" );
43 $indented->$finalize;
76 my $indented = $tb->child;
77 $indented->$plan('no_plan');
78 $indented->$ok( 1, "We're on 1" );
86 $indented->$ok( 1, 'after child' );
[all …]
/dports/lang/perl5-devel/perl5-5.35.4-102-ge43d289c7c/cpan/Test-Simple/t/Legacy/subtest/
H A Dbasic.t38 my $indented = $tb->child;
39 $indented->$plan('no_plan');
40 $indented->$ok( 1, "We're on 1" );
41 $indented->$ok( 1, "We're on 2" );
42 $indented->$ok( 1, "We're on 3" );
43 $indented->$finalize;
76 my $indented = $tb->child;
77 $indented->$plan('no_plan');
78 $indented->$ok( 1, "We're on 1" );
86 $indented->$ok( 1, 'after child' );
[all …]
/dports/editors/emacs/emacs-27.2/test/lisp/
H A Dwid-edit-tests.el47 (let (wid indented)
59 (setq indented (current-column)) ; Save the column to which we indented.
60 (should (eq indented (or (widget-get wid :indent) 0)))
66 (should (eq (current-column) indented)))))
71 (let (wid indented)
80 (setq indented (current-column))
86 (should (eq (current-column) indented)))))
91 (let (wid indented)
101 (setq indented (current-column))
102 (should (eq indented (or (widget-get wid :indent) 0)))
[all …]
/dports/devel/fbthrift/fbthrift-2021.12.27.00/thrift/lib/hs/Thrift/Protocol/
H A DPrettyJSON.hs63 indented :: Int -> Builder
64 indented i = mconcat $ "\n" : replicate i " " function
68 indented (l + i) <> buildJSONStruct i (l + i) fields <>
69 indented l <> "}"
71 indented (l + i) <> buildJSONMap i (l + i) entries <>
72 indented l <> "}"
74 indented (l + i) <> buildJSONList i (l + i) entries <>
75 indented l <> "]"
77 indented (l + i) <> buildJSONList i (l + i) entries <>
78 indented l <> "]"
[all …]
/dports/science/jstrack/jstrack/extlib/tcllib1.19/pt/
H A Dpt_peg_to_json.tcl38 variable indented 0
47 variable indented
58 -indented $indented \
78 indented - aligned {
95 variable indented
100 json::write indented $indented
141 variable indented 0
/dports/devel/tcllib/tcllib-1.20/modules/pt/
H A Dpt_peg_to_json.tcl38 variable indented 0
47 variable indented
58 -indented $indented \
78 indented - aligned {
95 variable indented
100 json::write indented $indented
141 variable indented 0
/dports/devel/tcllibc/tcllib-1.20/modules/pt/
H A Dpt_peg_to_json.tcl38 variable indented 0
47 variable indented
58 -indented $indented \
78 indented - aligned {
95 variable indented
100 json::write indented $indented
141 variable indented 0
/dports/math/libmesh/libmesh-1.6.2/contrib/netcdf/netcdf-c-4.6.2/ncgen/
H A Dgenj.c78 indented(1),jname(dsym)); in genjava_netcdf()
81 indented(1), in genjava_netcdf()
152 indented(1), in genjava_netcdf()
447 indented(1),bbContents(code)); in genj_writevar()
456 indented(1),jescapifyname(vsym->name)); in genj_writevar()
474 indented(1)); in genj_writevar()
485 indented(1), in genj_writevar()
562 indented(1), in genj_writeattr()
573 indented(1), in genj_writeattr()
585 indented(1), in genj_writeattr()
[all …]
/dports/science/netcdf/netcdf-c-4.7.4/ncgen/
H A Dgenj.c78 indented(1),jname(dsym)); in genjava_netcdf()
81 indented(1), in genjava_netcdf()
152 indented(1), in genjava_netcdf()
447 indented(1),bbContents(code)); in genj_writevar()
456 indented(1),jescapifyname(vsym->name)); in genj_writevar()
474 indented(1)); in genj_writevar()
485 indented(1), in genj_writevar()
562 indented(1), in genj_writeattr()
573 indented(1), in genj_writeattr()
585 indented(1), in genj_writeattr()
[all …]
/dports/ports-mgmt/portfmt/portfmt-1.1.0/tests/format/
H A Dcomments_1.t2 # comment indented with one space
3 # comment indented with two spaces
4 # comment indented with three spaces
7 # comment indented with one space
8 # comment indented with two spaces
9 # comment indented with three spaces

12345678910>>...263