Home
last modified time | relevance | path

Searched refs:input_address (Results 1 – 25 of 37) sorted by relevance

12

/dports/math/gemmlowp/gemmlowp-dc69acd/meta/generators/
H A Dtransform_kernels_common.py57 def Transform(self, emitter, registers, input_address, elements, argument
64 emitter.EmitVLoadAE(8, elements, load, input_address, None)
65 emitter.EmitPldOffset(input_address, emitter.ImmediateConstant(16))
103 def Transform(self, emitter, registers, input_address, elements, argument
110 emitter.EmitVLoadAE(8, elements, load, input_address, None)
172 def Transform(self, emitter, registers, input_address, elements, argument
179 emitter.EmitVLoadAE(32, elements, load, input_address, None)
253 def Transform(self, emitter, registers, input_address, elements, argument
260 emitter.EmitVLoadAE(32, elements, load, input_address, None)
325 input_address = registers.MapOutputParameter('input')
[all …]
H A Dstreams_common.py37 def _GenerateInputs(emitter, registers, lanes_count, input_address, stride): argument
40 last_address_register = input_address
43 inputs.append(input_address)
69 for (row, input_address) in zip(block, inputs):
70 emitter.EmitVLoadE(8, elements_count, row, input_address, None)
206 elements_count, aggregators, input_address, argument
219 block, input_address, stride)
250 input_address = registers.MapOutputParameter('in')
272 aggregators, input_address, stride,
282 leftovers, aggregators, input_address,
H A Dneon_emitter.py717 input_address, stride): argument
722 input_deref = self.Dereference(input_address, None)
723 input_deref_increment = self.DereferenceIncrement(input_address, None)
729 self.EmitPld(input_address)
735 self.EmitPld(input_address)
746 self.EmitPld(input_address)
758 self.EmitPld(input_address)
771 self.EmitPld(input_address)
785 self.EmitPld(input_address)
793 self.EmitPld(input_address)
H A Dneon_emitter_64.py1134 input_address, stride): argument
1138 input_deref = self.Dereference(input_address, None)
1139 input_deref_increment = self.DereferenceIncrement(input_address, None)
1145 self.EmitPld(input_address)
1153 self.EmitPld(input_address)
1161 self.EmitPld(input_address)
1169 self.EmitPld(input_address)
1184 self.EmitPld(input_address)
1200 self.EmitPld(input_address)
1218 self.EmitPld(input_address)
[all …]
/dports/security/vaultwarden/vaultwarden-1.23.1/cargo-crates/diesel-1.4.8/src/pg/types/
H A Dnetwork_address.rs159 let input_address = [0x52, 0x54, 0x00, 0xfb, 0xc6, 0x16]; in macaddr_roundtrip() localVariable
160 ToSql::<MacAddr, Pg>::to_sql(&input_address, &mut bytes).unwrap(); in macaddr_roundtrip()
162 assert_eq!(input_address, output_address); in macaddr_roundtrip()
185 let input_address = in some_v4address_from_sql() localVariable
188 ToSql::<$ty, Pg>::to_sql(&input_address, &mut bytes).unwrap(); in some_v4address_from_sql()
190 assert_eq!(input_address, output_address); in some_v4address_from_sql()
242 let input_address = in some_v6address_from_sql() localVariable
245 ToSql::<$ty, Pg>::to_sql(&input_address, &mut bytes).unwrap(); in some_v6address_from_sql()
247 assert_eq!(input_address, output_address); in some_v6address_from_sql()
/dports/audio/gnome-podcasts/podcasts-c86f7bfdef7692bbf20f315a90450321f6ca9ce7/cargo-crates/diesel-1.4.8/src/pg/types/
H A Dnetwork_address.rs159 let input_address = [0x52, 0x54, 0x00, 0xfb, 0xc6, 0x16]; in macaddr_roundtrip() localVariable
160 ToSql::<MacAddr, Pg>::to_sql(&input_address, &mut bytes).unwrap(); in macaddr_roundtrip()
162 assert_eq!(input_address, output_address); in macaddr_roundtrip()
185 let input_address = in some_v4address_from_sql() localVariable
188 ToSql::<$ty, Pg>::to_sql(&input_address, &mut bytes).unwrap(); in some_v4address_from_sql()
190 assert_eq!(input_address, output_address); in some_v4address_from_sql()
242 let input_address = in some_v6address_from_sql() localVariable
245 ToSql::<$ty, Pg>::to_sql(&input_address, &mut bytes).unwrap(); in some_v6address_from_sql()
247 assert_eq!(input_address, output_address); in some_v6address_from_sql()
/dports/multimedia/v4l-utils/linux-5.13-rc2/arch/x86/include/asm/
H A Dmshyperv.h50 u64 input_address = input ? virt_to_phys(input) : 0; in hv_do_hypercall() local
61 "+c" (control), "+d" (input_address) in hv_do_hypercall()
66 u32 input_address_hi = upper_32_bits(input_address); in hv_do_hypercall()
67 u32 input_address_lo = lower_32_bits(input_address); in hv_do_hypercall()
/dports/multimedia/v4l_compat/linux-5.13-rc2/arch/x86/include/asm/
H A Dmshyperv.h50 u64 input_address = input ? virt_to_phys(input) : 0; in hv_do_hypercall() local
61 "+c" (control), "+d" (input_address) in hv_do_hypercall()
66 u32 input_address_hi = upper_32_bits(input_address); in hv_do_hypercall()
67 u32 input_address_lo = lower_32_bits(input_address); in hv_do_hypercall()
/dports/multimedia/libv4l/linux-5.13-rc2/arch/x86/include/asm/
H A Dmshyperv.h50 u64 input_address = input ? virt_to_phys(input) : 0; in hv_do_hypercall() local
61 "+c" (control), "+d" (input_address) in hv_do_hypercall()
66 u32 input_address_hi = upper_32_bits(input_address); in hv_do_hypercall()
67 u32 input_address_lo = lower_32_bits(input_address); in hv_do_hypercall()
/dports/www/epiphany/epiphany-41.0/embed/
H A Dephy-embed-utils.c281 ephy_embed_utils_normalize_address (const char *input_address) in ephy_embed_utils_normalize_address() argument
286 g_assert (input_address); in ephy_embed_utils_normalize_address()
291 if (is_bang_search (input_address)) { in ephy_embed_utils_normalize_address()
298 input_address); in ephy_embed_utils_normalize_address()
301 address = ensure_host_name_is_lowercase (input_address); in ephy_embed_utils_normalize_address()
/dports/editors/libreoffice/libreoffice-7.2.6.2/uitest/
H A Dloginterpreter.py50 def get_log_file(input_address): argument
52 with open(input_address) as f:
181 log_lines = get_log_file(args.input_address)
/dports/editors/libreoffice6/libreoffice-6.4.7.2/uitest/
H A Dloginterpreter.py50 def get_log_file(input_address): argument
52 with open(input_address) as f:
181 log_lines = get_log_file(args.input_address)
/dports/net/ntp/ntp-4.2.8p15/tests/libntp/
H A Dnetof.c77 const struct in6_addr input_address = { { { in test_IPv6Address() local
94 input.sa6.sin6_addr = input_address; in test_IPv6Address()
/dports/editors/libreoffice6/libreoffice-6.4.7.2/uitest/ui_logger_dsl/
H A Ddsl_core.py46 def __init__(self, input_address, output_address): argument
49 self.input_address = input_address
51 def get_log_file(self, input_address): argument
54 content = self.ui_dsl_mm.model_from_file(input_address)
141 self.log_lines = self.get_log_file(self.input_address)
832 ui_logger = ul_Compiler(args.input_address, args.output_address)
/dports/editors/libreoffice/libreoffice-7.2.6.2/uitest/ui_logger_dsl/
H A Ddsl_core.py47 def __init__(self, input_address, output_address): argument
50 self.input_address = input_address
52 def get_log_file(self, input_address): argument
55 content = self.ui_dsl_mm.model_from_file(input_address)
156 self.log_lines = self.get_log_file(self.input_address)
1083 ui_logger = ul_Compiler(args.input_address, args.output_address)
/dports/misc/tvm/incubator-tvm-0.6.1/apps/android_rpc/app/src/main/java/org/apache/tvm/tvmrpc/
H A DMainActivity.java55 EditText edProxyAddress = findViewById(R.id.input_address); in updateRPCPrefs()
136 EditText edProxyAddress = findViewById(R.id.input_address); in enableInputView()
/dports/misc/mxnet/incubator-mxnet-1.9.0/3rdparty/tvm/apps/android_rpc/app/src/main/java/org/apache/tvm/tvmrpc/
H A DMainActivity.java55 EditText edProxyAddress = findViewById(R.id.input_address); in updateRPCPrefs()
136 EditText edProxyAddress = findViewById(R.id.input_address); in enableInputView()
/dports/misc/py-tvm/incubator-tvm-0.6.1/apps/android_rpc/app/src/main/java/org/apache/tvm/tvmrpc/
H A DMainActivity.java55 EditText edProxyAddress = findViewById(R.id.input_address); in updateRPCPrefs()
136 EditText edProxyAddress = findViewById(R.id.input_address); in enableInputView()
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/crypto/ccp/
H A Dsev-dev.c402 void __user *input_address; in sev_ioctl_do_pek_csr() local
419 input_address = (void __user *)input.address; in sev_ioctl_do_pek_csr()
448 if (copy_to_user(input_address, blob, input.length)) in sev_ioctl_do_pek_csr()
633 void __user *input_address; in sev_ioctl_do_get_id2() local
644 input_address = (void __user *)input.address; in sev_ioctl_do_get_id2()
672 if (copy_to_user(input_address, id_blob, data.len)) { in sev_ioctl_do_get_id2()
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/crypto/ccp/
H A Dsev-dev.c402 void __user *input_address; in sev_ioctl_do_pek_csr() local
419 input_address = (void __user *)input.address; in sev_ioctl_do_pek_csr()
448 if (copy_to_user(input_address, blob, input.length)) in sev_ioctl_do_pek_csr()
633 void __user *input_address; in sev_ioctl_do_get_id2() local
644 input_address = (void __user *)input.address; in sev_ioctl_do_get_id2()
672 if (copy_to_user(input_address, id_blob, data.len)) { in sev_ioctl_do_get_id2()
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/crypto/ccp/
H A Dsev-dev.c402 void __user *input_address; in sev_ioctl_do_pek_csr() local
419 input_address = (void __user *)input.address; in sev_ioctl_do_pek_csr()
448 if (copy_to_user(input_address, blob, input.length)) in sev_ioctl_do_pek_csr()
633 void __user *input_address; in sev_ioctl_do_get_id2() local
644 input_address = (void __user *)input.address; in sev_ioctl_do_get_id2()
672 if (copy_to_user(input_address, id_blob, data.len)) { in sev_ioctl_do_get_id2()
/dports/emulators/citra/citra-ac98458e0/src/core/hw/
H A Dgpu.h166 u32 input_address; member
170 return DecodeAddressRegister(input_address); in GetPhysicalInputAddress()
/dports/emulators/citra-qt5/citra-ac98458e0/src/core/hw/
H A Dgpu.h166 u32 input_address; member
170 return DecodeAddressRegister(input_address); in GetPhysicalInputAddress()
/dports/net/wireshark/wireshark-3.6.1/epan/dissectors/
H A Dpacket-sigcomp.c1637 guint *input_address, in decomp_dispatch_get_bits() argument
1647 gint extra_bytes_available = msg_end - *input_address; in decomp_dispatch_get_bits()
1689 octet = tvb_get_guint8(message_tvb, *input_address); in decomp_dispatch_get_bits()
1694 *input_address = *input_address + 1; in decomp_dispatch_get_bits()
1754 guint input_address; in decompress_sigcomp_message() local
1924 input_address = 0; in decompress_sigcomp_message()
2850 … proto_tree_add_bytes_format(udvm_tree, hf_sigcomp_byte_copy, message_tvb, input_address, 1, in decompress_sigcomp_message()
2948 … proto_tree_add_bytes_format(udvm_tree, hf_sigcomp_byte_copy, message_tvb, input_address, 1, in decompress_sigcomp_message()
3660 if (input_address > ( msg_end - 1)) { in decompress_sigcomp_message()
3669 octet = tvb_get_guint8(message_tvb, input_address); in decompress_sigcomp_message()
[all …]
/dports/net/wireshark-lite/wireshark-3.6.1/epan/dissectors/
H A Dpacket-sigcomp.c1637 guint *input_address, in decomp_dispatch_get_bits() argument
1647 gint extra_bytes_available = msg_end - *input_address; in decomp_dispatch_get_bits()
1689 octet = tvb_get_guint8(message_tvb, *input_address); in decomp_dispatch_get_bits()
1694 *input_address = *input_address + 1; in decomp_dispatch_get_bits()
1754 guint input_address; in decompress_sigcomp_message() local
1924 input_address = 0; in decompress_sigcomp_message()
2850 … proto_tree_add_bytes_format(udvm_tree, hf_sigcomp_byte_copy, message_tvb, input_address, 1, in decompress_sigcomp_message()
2948 … proto_tree_add_bytes_format(udvm_tree, hf_sigcomp_byte_copy, message_tvb, input_address, 1, in decompress_sigcomp_message()
3660 if (input_address > ( msg_end - 1)) { in decompress_sigcomp_message()
3669 octet = tvb_get_guint8(message_tvb, input_address); in decompress_sigcomp_message()
[all …]

12