Home
last modified time | relevance | path

Searched refs:latency (Results 1 – 25 of 6585) sorted by relevance

12345678910>>...264

/dports/audio/alsa-utils/alsa-utils-1.2.2/bat/
H A Dlatencytest.c100 bat->latency.state = in play_and_listen()
113 bat->latency.state = in play_and_listen()
122 bat->latency.number++; in play_and_listen()
135 bat->latency.error++; in play_and_listen()
142 bat->latency.state = in play_and_listen()
163 average = bat->latency.sum / bat->latency.samples / 32767.0f; in calculate_threshold()
171 bat->latency.number = 1; in roundtrip_latency_init()
174 bat->latency.samples = 0; in roundtrip_latency_init()
175 bat->latency.sum = 0; in roundtrip_latency_init()
179 bat->latency.error = 0; in roundtrip_latency_init()
[all …]
/dports/devel/libfirm/libfirm-1.21.0/ir/be/ia32/
H A Dia32_spec.pl184 latency => 0,
207 latency => 0,
222 latency => 1,
234 latency => 1,
246 latency => 1,
260 latency => 1,
309 latency => 5,
324 latency => 5,
346 latency => 1,
358 latency => 1,
[all …]
/dports/lang/v8/v8-9.6.180.12/src/compiler/backend/mips64/
H A Dinstruction-scheduler-mips64.cc598 latency += 1; in DmulLatency()
600 return latency; in DmulLatency()
687 latency = 1; in ModLatency()
700 latency = 1; in ModuLatency()
713 latency = 1; in DmodLatency()
726 latency = 1; in DmoduLatency()
894 latency++; in MultiPushLatency()
918 latency++; in MultiPopLatency()
943 latency++; in CallCFunctionHelperLatency()
962 latency = 6; in GenerateSwitchTableLatency()
[all …]
/dports/www/qt5-webengine/qtwebengine-everywhere-src-5.15.2/src/3rdparty/chromium/v8/src/compiler/backend/mips64/
H A Dinstruction-scheduler-mips64.cc587 latency += 1; in DmulLatency()
589 return latency; in DmulLatency()
676 latency = 1; in ModLatency()
689 latency = 1; in ModuLatency()
702 latency = 1; in DmodLatency()
715 latency = 1; in DmoduLatency()
888 latency++; in MultiPushLatency()
912 latency++; in MultiPopLatency()
937 latency++; in CallCFunctionHelperLatency()
956 latency = 6; in GenerateSwitchTableLatency()
[all …]
/dports/www/chromium-legacy/chromium-88.0.4324.182/v8/src/compiler/backend/mips64/
H A Dinstruction-scheduler-mips64.cc610 latency += 1; in DmulLatency()
612 return latency; in DmulLatency()
699 latency = 1; in ModLatency()
712 latency = 1; in ModuLatency()
725 latency = 1; in DmodLatency()
738 latency = 1; in DmoduLatency()
911 latency++; in MultiPushLatency()
935 latency++; in MultiPopLatency()
960 latency++; in CallCFunctionHelperLatency()
979 latency = 6; in GenerateSwitchTableLatency()
[all …]
/dports/lang/v8/v8-9.6.180.12/src/compiler/backend/riscv64/
H A Dinstruction-scheduler-riscv64.cc588 latency += 1; in Mul64Latency()
590 return latency; in Mul64Latency()
596 latency += 1; in Mulh32Latency()
598 return latency; in Mulh32Latency()
604 latency += 1; in Mulhu32Latency()
606 return latency; in Mulhu32Latency()
612 latency += 1; in Mulh64Latency()
777 latency++; in MultiPushLatency()
801 latency++; in MultiPopLatency()
826 latency++; in CallCFunctionHelperLatency()
[all …]
/dports/www/chromium-legacy/chromium-88.0.4324.182/ios/chrome/browser/link_to_text/
H A Dlink_to_text_response.mm31 latency:(base::TimeDelta)latency
39 latency:(base::TimeDelta)latency;
46 latency:(base::TimeDelta)latency;
53 latency:(base::TimeDelta)latency {
63 latency:(base::TimeDelta)latency {
74 latency:(base::TimeDelta)latency {
95 latency:latency];
114 latency:latency];
145 latency:latency];
154 latency:latency];
[all …]
/dports/multimedia/v4l-utils/linux-5.13-rc2/arch/arm/boot/dts/
H A Dtegra30-cpu-opp.dtsi9 clock-latency-ns = <100000>;
15 clock-latency-ns = <100000>;
21 clock-latency-ns = <100000>;
27 clock-latency-ns = <100000>;
33 clock-latency-ns = <100000>;
39 clock-latency-ns = <100000>;
45 clock-latency-ns = <100000>;
52 clock-latency-ns = <100000>;
59 clock-latency-ns = <100000>;
66 clock-latency-ns = <100000>;
[all …]
H A Dtegra20-cpu-opp.dtsi9 clock-latency-ns = <400000>;
16 clock-latency-ns = <400000>;
23 clock-latency-ns = <400000>;
29 clock-latency-ns = <400000>;
35 clock-latency-ns = <400000>;
41 clock-latency-ns = <400000>;
48 clock-latency-ns = <400000>;
54 clock-latency-ns = <400000>;
60 clock-latency-ns = <400000>;
66 clock-latency-ns = <400000>;
[all …]
/dports/multimedia/v4l_compat/linux-5.13-rc2/arch/arm/boot/dts/
H A Dtegra30-cpu-opp.dtsi9 clock-latency-ns = <100000>;
15 clock-latency-ns = <100000>;
21 clock-latency-ns = <100000>;
27 clock-latency-ns = <100000>;
33 clock-latency-ns = <100000>;
39 clock-latency-ns = <100000>;
45 clock-latency-ns = <100000>;
52 clock-latency-ns = <100000>;
59 clock-latency-ns = <100000>;
66 clock-latency-ns = <100000>;
[all …]
H A Dtegra20-cpu-opp.dtsi9 clock-latency-ns = <400000>;
16 clock-latency-ns = <400000>;
23 clock-latency-ns = <400000>;
29 clock-latency-ns = <400000>;
35 clock-latency-ns = <400000>;
41 clock-latency-ns = <400000>;
48 clock-latency-ns = <400000>;
54 clock-latency-ns = <400000>;
60 clock-latency-ns = <400000>;
66 clock-latency-ns = <400000>;
[all …]
/dports/multimedia/libv4l/linux-5.13-rc2/arch/arm/boot/dts/
H A Dtegra30-cpu-opp.dtsi9 clock-latency-ns = <100000>;
15 clock-latency-ns = <100000>;
21 clock-latency-ns = <100000>;
27 clock-latency-ns = <100000>;
33 clock-latency-ns = <100000>;
39 clock-latency-ns = <100000>;
45 clock-latency-ns = <100000>;
52 clock-latency-ns = <100000>;
59 clock-latency-ns = <100000>;
66 clock-latency-ns = <100000>;
[all …]
H A Dtegra20-cpu-opp.dtsi9 clock-latency-ns = <400000>;
16 clock-latency-ns = <400000>;
23 clock-latency-ns = <400000>;
29 clock-latency-ns = <400000>;
35 clock-latency-ns = <400000>;
41 clock-latency-ns = <400000>;
48 clock-latency-ns = <400000>;
54 clock-latency-ns = <400000>;
60 clock-latency-ns = <400000>;
66 clock-latency-ns = <400000>;
[all …]
/dports/www/qt5-webengine/qtwebengine-everywhere-src-5.15.2/src/3rdparty/chromium/ui/latency/ipc/
H A Dlatency_info_param_traits_unittest.cc17 LatencyInfo latency; in TEST() local
18 latency.set_trace_id(5); in TEST()
19 latency.set_ukm_source_id(10); in TEST()
20 latency.set_scroll_update_delta(12.5); in TEST()
21 latency.set_predicted_scroll_update_delta(12.5); in TEST()
22 ASSERT_FALSE(latency.terminated()); in TEST()
27 EXPECT_EQ(5, latency.trace_id()); in TEST()
28 EXPECT_EQ(10, latency.ukm_source_id()); in TEST()
29 EXPECT_TRUE(latency.terminated()); in TEST()
32 IPC::WriteParam(&msg, latency); in TEST()
[all …]
/dports/www/chromium-legacy/chromium-88.0.4324.182/ui/latency/ipc/
H A Dlatency_info_param_traits_unittest.cc17 LatencyInfo latency; in TEST() local
18 latency.set_trace_id(5); in TEST()
19 latency.set_ukm_source_id(10); in TEST()
20 latency.set_scroll_update_delta(12.5); in TEST()
21 latency.set_predicted_scroll_update_delta(12.5); in TEST()
22 ASSERT_FALSE(latency.terminated()); in TEST()
27 EXPECT_EQ(5, latency.trace_id()); in TEST()
28 EXPECT_EQ(10, latency.ukm_source_id()); in TEST()
29 EXPECT_TRUE(latency.terminated()); in TEST()
32 IPC::WriteParam(&msg, latency); in TEST()
[all …]
/dports/java/berkeley-db/je-6.2.31/test/com/sleepycat/utilint/
H A DLatencyStatTest.java311 assertTrue(latency.toString(), in run()
313 assertTrue(latency.toString(), in run()
315 assertTrue(latency.toString(), in run()
317 assertTrue(latency.toString(), in run()
320 latency.getMin() <= Math.round(latency.getAvg())); in run()
322 latency.getMin() <= latency.get95thPercent()); in run()
324 latency.getMin() <= latency.get99thPercent()); in run()
326 latency.getMax() >= latency.getMin()); in run()
328 latency.getMax() >= Math.round(latency.getAvg())); in run()
330 latency.getMax() >= latency.get95thPercent()); in run()
[all …]
/dports/science/py-obspy/obspy-1.2.2/obspy/scripts/
H A Dsds_html_report.py116 latency = float(latency)
118 days = int(latency)
119 latency -= days
120 latency *= 24
122 latency -= hours
123 latency *= 60
125 latency -= minutes
169 if (latency is None or np.isinf(latency) or
394 latency = np.min(latency)
400 latency = latency or np.inf
[all …]
/dports/devel/hwloc/hwloc-1.11.13/tests/
H A Dhwloc_distances.c33 printf(" %2.3f", distances->latency[i*nbobjs+j]); in print_distances()
58 if (!distances || !distances->latency) { in main()
77 if (!distances || !distances->latency) { in main()
90 assert(d1 == distances->latency[i*nbobjs+j]); in main()
91 assert(d2 == distances->latency[j*nbobjs+i]); in main()
94 assert(distances->latency[0] == 1.0); /* diagonal */ in main()
98 assert(distances->latency[10] == 1.0); /* diagonal */ in main()
103 if (!distances || !distances->latency) { in main()
116 assert(d1 == distances->latency[i*nbobjs+j]); in main()
117 assert(d2 == distances->latency[j*nbobjs+i]); in main()
[all …]
/dports/net/mpich2/mpich2-1.5/src/pm/hydra/tools/topo/hwloc/hwloc/tests/
H A Dhwloc_distances.c33 printf(" %2.3f", distances->latency[i*nbobjs+j]); in print_distances()
58 if (!distances || !distances->latency) { in main()
77 if (!distances || !distances->latency) { in main()
90 assert(d1 == distances->latency[i*nbobjs+j]); in main()
91 assert(d2 == distances->latency[j*nbobjs+i]); in main()
94 assert(distances->latency[0] == 1.0); /* diagonal */ in main()
98 assert(distances->latency[10] == 1.0); /* diagonal */ in main()
103 if (!distances || !distances->latency) { in main()
116 assert(d1 == distances->latency[i*nbobjs+j]); in main()
117 assert(d2 == distances->latency[j*nbobjs+i]); in main()
[all …]
/dports/www/qt5-webengine/qtwebengine-everywhere-src-5.15.2/src/3rdparty/chromium/content/browser/renderer_host/input/
H A Drender_widget_host_latency_tracker.cc93 const LatencyInfo& latency, in ComputeInputLatencyHistograms() argument
99 if (latency.coalesced()) in ComputeInputLatencyHistograms()
158 LatencyInfo* latency) { in OnInputEvent() argument
159 DCHECK(latency); in OnInputEvent()
162 OnEventStart(latency); in OnInputEvent()
195 latency->AddLatencyNumberWithTimestamp( in OnInputEvent()
199 latency->AddLatencyNumberWithTraceName( in OnInputEvent()
223 latency->set_scroll_update_delta( in OnInputEvent()
233 DCHECK(latency); in OnInputEventAck()
260 latency->Terminate(); in OnInputEventAck()
[all …]
/dports/www/qt5-webengine/qtwebengine-everywhere-src-5.15.2/src/3rdparty/chromium/ui/latency/mojom/
H A Dmojom_traits_unittest.cc44 LatencyInfo latency; in TEST_F() local
45 latency.set_trace_id(5); in TEST_F()
46 latency.set_ukm_source_id(10); in TEST_F()
47 ASSERT_FALSE(latency.terminated()); in TEST_F()
52 EXPECT_EQ(5, latency.trace_id()); in TEST_F()
53 EXPECT_EQ(10, latency.ukm_source_id()); in TEST_F()
54 EXPECT_TRUE(latency.terminated()); in TEST_F()
56 latency.set_source_event_type(ui::SourceEventType::TOUCH); in TEST_F()
60 remote->EchoLatencyInfo(latency, &output); in TEST_F()
62 EXPECT_EQ(latency.trace_id(), output.trace_id()); in TEST_F()
[all …]
/dports/www/chromium-legacy/chromium-88.0.4324.182/ui/latency/mojom/
H A Dmojom_traits_unittest.cc44 LatencyInfo latency; in TEST_F() local
45 latency.set_trace_id(5); in TEST_F()
46 latency.set_ukm_source_id(10); in TEST_F()
47 ASSERT_FALSE(latency.terminated()); in TEST_F()
52 EXPECT_EQ(5, latency.trace_id()); in TEST_F()
53 EXPECT_EQ(10, latency.ukm_source_id()); in TEST_F()
54 EXPECT_TRUE(latency.terminated()); in TEST_F()
56 latency.set_source_event_type(ui::SourceEventType::TOUCH); in TEST_F()
60 remote->EchoLatencyInfo(latency, &output); in TEST_F()
62 EXPECT_EQ(latency.trace_id(), output.trace_id()); in TEST_F()
[all …]
/dports/www/chromium-legacy/chromium-88.0.4324.182/content/browser/renderer_host/input/
H A Drender_widget_host_latency_tracker.cc95 LatencyInfo* latency) { in OnInputEvent() argument
96 DCHECK(latency); in OnInputEvent()
99 OnEventStart(latency); in OnInputEvent()
132 latency->AddLatencyNumberWithTimestamp( in OnInputEvent()
136 latency->AddLatencyNumberWithTraceName( in OnInputEvent()
164 latency->set_scroll_update_delta( in OnInputEvent()
176 LatencyInfo* latency, in OnInputEventAck() argument
178 DCHECK(latency); in OnInputEventAck()
205 latency->Terminate(); in OnInputEventAck()
211 latency->set_trace_id(++global_trace_id); in OnEventStart()
[all …]
/dports/databases/pg_citus/citus-10.2.3/src/test/regress/sql/
H A Dtdigest_aggregate_support.sql30 random()*10000.0 AS latency
35 SELECT tdigest(latency, 100)
40 SELECT a, tdigest(latency, 100)
46 SELECT b, tdigest(latency, 100)
52 SELECT tdigest_percentile(latency, 100, 0.99)
57 SELECT a, tdigest_percentile(latency, 100, 0.99)
63 SELECT b, tdigest_percentile(latency, 100, 0.99)
86 SELECT tdigest_percentile_of(latency, 100, 9000)
91 SELECT a, tdigest_percentile_of(latency, 100, 9000)
119 SELECT tdigest(latency, 100) FROM latencies;
[all …]
/dports/net/ocserv/ocserv-1.1.3/src/
H A Dworker-latency.c88 msg.rms_delta = ws->latency.rms_total; in send_latency_stats_delta_to_main()
91 ws->latency.median_total = 0; in send_latency_stats_delta_to_main()
92 ws->latency.rms_total = 0; in send_latency_stats_delta_to_main()
93 ws->latency.sample_set_count = 0; in send_latency_stats_delta_to_main()
99 ws->latency.last_stats_msg = now; in send_latency_stats_delta_to_main()
122 ws->latency.next_sample = 0; in capture_latency_sample()
123 qsort(ws->latency.samples, LATENCY_SAMPLE_SIZE, sizeof(ws->latency.samples[0]), greater_than); in capture_latency_sample()
127 total += ws->latency.samples[i]; in capture_latency_sample()
140 (ws->latency.rms_total) += rms; in capture_latency_sample()
141 (ws->latency.sample_set_count) ++; in capture_latency_sample()
[all …]

12345678910>>...264