Home
last modified time | relevance | path

Searched refs:led2 (Results 1 – 25 of 2511) sorted by relevance

12345678910>>...101

/dports/misc/rump/buildrump.sh-b914579/src/sys/compat/linux/common/
H A Dlinux_exec.c150 struct linux_emuldata *led1, *led2; in linux_e_proc_fork() local
157 led2 = l2->l_emuldata; in linux_e_proc_fork()
158 led2->led_child_tidptr = led1->led_child_tidptr; in linux_e_proc_fork()
164 struct linux_emuldata *led2; in linux_e_lwp_fork() local
166 led2 = kmem_zalloc(sizeof(*led2), KM_SLEEP); in linux_e_lwp_fork()
167 l2->l_emuldata = led2; in linux_e_lwp_fork()
/dports/misc/gpsim/gpsim-0.31.0/examples/modules/led_test/
H A Dled_mod.stc22 module load led led2
23 led2.color = green
24 led2.xpos = 60
25 led2.ypos = 48
32 attach Seg0 portb0 led1.seg0 led2.in
/dports/devel/nextpnr/nextpnr-48cd407/ice40/examples/blinky/
H A Dblinky_tb.v5 wire led1, led2, led3, led4, led5; net
10 .io_13_12_0(led2),
21 $display(led1, led2, led3, led4, led5);
H A Dblinky.v4 output led2, port
26 assign {led1, led2, led3, led4, led5} = outcnt ^ (outcnt >> 1);
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/synth60/
H A Dleds_wrapper_arch_comp_inst.vhdl5 led1, led2, led3, led4, led5, led6, led7, led8 : out std_logic); port in leds_wrapper.rtl_comp_inst.leds
14 led2 => led2,
H A Dleds_wrapper_arch_entity_inst.vhdl9 led2 => led2,
/dports/devel/tinygo/tinygo-0.14.1/src/examples/button2/
H A Dbutton2.go14 led2 := machine.LED2
15 led2.Configure(machine.PinConfig{Mode: machine.PinOutput})
37 led2.Set(button2.Get())
/dports/multimedia/v4l-utils/linux-5.13-rc2/arch/arm64/boot/dts/marvell/
H A Darmada-3720-espressobin.dtsi45 led2: gpio-led2 { label
46 /* led2 is working only on v7 board */
51 led2 {
52 label = "led2";
/dports/multimedia/v4l_compat/linux-5.13-rc2/arch/arm64/boot/dts/marvell/
H A Darmada-3720-espressobin.dtsi45 led2: gpio-led2 { label
46 /* led2 is working only on v7 board */
51 led2 {
52 label = "led2";
/dports/multimedia/libv4l/linux-5.13-rc2/arch/arm64/boot/dts/marvell/
H A Darmada-3720-espressobin.dtsi45 led2: gpio-led2 { label
46 /* led2 is working only on v7 board */
51 led2 {
52 label = "led2";
/dports/devel/efl/efl-1.25.1/data/elementary/themes/edc/
H A Dcpumonitor.edc21 if (val > (100 / 7 * 2)) set_state(PART:"led2", "on", 0.0);
22 else set_state(PART:"led2", "off", 0.0);
50 part { name: "led2";
110 if (val > (100 / 7 * 2)) set_state(PART:"led2", "on", 0.0);
111 else set_state(PART:"led2", "off", 0.0);
139 part { name: "led2";
/dports/devel/nextpnr/nextpnr-48cd407/tests/ice40/regressions/issue0186/
H A Dtest.v6 output led2, port
22 assign led2 = cntr[19];
/dports/devel/nextpnr/nextpnr-48cd407/tests/ice40/regressions/issue0188/
H A Dtest.v6 output led2, port
25 assign led2 = cntr[19];
/dports/devel/nextpnr/nextpnr-48cd407/ice40/
H A Dicebreaker.v9 output led2, port
28 assign {led1, led2, led3, led4, led5} = outcnt ^ (outcnt >> 1);
/dports/devel/tinygo/tinygo-0.14.1/src/examples/blinky2/
H A Dblinky2.go15 led2()
32 func led2() { func
/dports/multimedia/v4l-utils/linux-5.13-rc2/arch/arm/boot/dts/
H A Dat91-wb50n.dts53 led2 {
54 label = "wb50n:red:led2";
H A Dzynq-zturn-common.dtsi42 usr-led2 {
43 label = "usr-led2";
/dports/multimedia/v4l_compat/linux-5.13-rc2/arch/arm/boot/dts/
H A Dat91-wb50n.dts53 led2 {
54 label = "wb50n:red:led2";
H A Dzynq-zturn-common.dtsi42 usr-led2 {
43 label = "usr-led2";
/dports/multimedia/libv4l/linux-5.13-rc2/arch/arm/boot/dts/
H A Dat91-wb50n.dts53 led2 {
54 label = "wb50n:red:led2";
H A Dzynq-zturn-common.dtsi42 usr-led2 {
43 label = "usr-led2";
/dports/emulators/qemu/qemu-6.2.0/roms/u-boot/arch/arm/dts/
H A Dzynq-zturn-common.dtsi42 usr-led2 {
43 label = "usr-led2";
/dports/sysutils/u-boot-olinuxino-lime/u-boot-2021.07/arch/arm/dts/
H A Dzynq-zturn-common.dtsi42 usr-led2 {
43 label = "usr-led2";
/dports/sysutils/u-boot-olinuxino-lime2-emmc/u-boot-2021.07/arch/arm/dts/
H A Dzynq-zturn-common.dtsi42 usr-led2 {
43 label = "usr-led2";
/dports/sysutils/u-boot-olinuxino-lime2/u-boot-2021.07/arch/arm/dts/
H A Dzynq-zturn-common.dtsi42 usr-led2 {
43 label = "usr-led2";

12345678910>>...101