Home
last modified time | relevance | path

Searched refs:m_in_payload_tdata (Results 1 – 12 of 12) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/host/examples/rfnoc-example/fpga/rfnoc_block_gain/
H A Drfnoc_block_gain.v75 wire [32*1-1:0] m_in_payload_tdata; net
161 .m_in_payload_tdata (m_in_payload_tdata),
274 .cplx_tdata (m_in_payload_tdata),
H A Dnoc_shell_gain.v85 output wire [32*1-1:0] m_in_payload_tdata, port
227 .m_axis_payload_tdata (m_in_payload_tdata),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_logpwr/
H A Drfnoc_block_logpwr.v73 wire [NUM_PORTS*32*1-1:0] m_in_payload_tdata; net
151 .m_in_payload_tdata (m_in_payload_tdata),
233 .i_tdata (m_in_payload_tdata [port*32 +: 32]),
H A Dnoc_shell_logpwr.v77 output wire [NUM_PORTS*32*1-1:0] m_in_payload_tdata, port
195 .m_axis_payload_tdata (m_in_payload_tdata[(32*1)*i+:(32*1)]),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_moving_avg/
H A Drfnoc_block_moving_avg.v79 wire [NUM_PORTS*32*1-1:0] m_in_payload_tdata; net
171 .m_in_payload_tdata (m_in_payload_tdata),
265 .i_tdata (m_in_payload_tdata [port*32 +: 32]),
H A Dnoc_shell_moving_avg.v88 output wire [NUM_PORTS*32*1-1:0] m_in_payload_tdata, port
247 .m_axis_payload_tdata (m_in_payload_tdata[(32*1)*i+:(32*1)]),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_window/
H A Drfnoc_block_window.v85 wire [NUM_PORTS*32*1-1:0] m_in_payload_tdata; net
174 .m_in_payload_tdata (m_in_payload_tdata),
270 .s_tdata (m_in_payload_tdata [port*32 +: 32]),
H A Dnoc_shell_window.v89 output wire [NUM_PORTS*32*1-1:0] m_in_payload_tdata, port
248 .m_axis_payload_tdata (m_in_payload_tdata[(32*1)*i+:(32*1)]),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_vector_iir/
H A Drfnoc_block_vector_iir.v91 wire [NUM_PORTS*32*1-1:0] m_in_payload_tdata; net
181 .m_in_payload_tdata (m_in_payload_tdata),
295 assign in_tdata = m_in_payload_tdata [port*32 +: 32];
H A Dnoc_shell_vector_iir.v88 output wire [NUM_PORTS*32*1-1:0] m_in_payload_tdata, port
247 .m_axis_payload_tdata (m_in_payload_tdata[(32*1)*i+:(32*1)]),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_fir_filter/
H A Dnoc_shell_fir_filter.v95 output wire [NUM_PORTS*32*1-1:0] m_in_payload_tdata, port
254 .m_axis_payload_tdata (m_in_payload_tdata[(32*1)*i+:(32*1)]),
H A Drfnoc_block_fir_filter.v196 .m_in_payload_tdata (axis_to_fir_tdata),