Home
last modified time | relevance | path

Searched refs:mem_write (Results 1 – 25 of 606) sorted by relevance

12345678910>>...25

/dports/cad/cascade-compiler/cascade-f4f7ae8bd1dd379790c0e58c286df90b8d1cdcde/share/cascade/test/benchmark/mips32/
H A Dcontrol.v1 module Control(instruction, reg_dst, jump, branch, mem_to_reg, alu_op, mem_write, alu_src, reg_writ…
8 output reg mem_write; port
18 …reg_dst = 0; jump = 0; branch = 0; mem_to_reg = 0; alu_op = 0; mem_write = 0; alu_src = 2'b00; reg…
22 …reg_dst = 1; jump = 0; branch = 0; mem_to_reg = 0; alu_op = 1; mem_write = 0; alu_src = 2'b10; reg…
26 …reg_dst = 1; jump = 0; branch = 0; mem_to_reg = 0; alu_op = 1; mem_write = 0; alu_src = 2'b11; reg…
30 …reg_dst = 1; jump = 0; branch = 0; mem_to_reg = 0; alu_op = 1; mem_write = 0; alu_src = 2'b00; reg…
35 …reg_dst = 0; jump = 1; branch = 0; mem_to_reg = 0; alu_op = 0; mem_write = 0; alu_src = 2'b00; reg…
39 …reg_dst = 0; jump = 0; branch = 1; mem_to_reg = 0; alu_op = 0; mem_write = 0; alu_src = 2'b00; reg…
43 …reg_dst = 0; jump = 0; branch = 0; mem_to_reg = 0; alu_op = 0; mem_write = 0; alu_src = 2'b01; reg…
47 …reg_dst = 0; jump = 0; branch = 0; mem_to_reg = 0; alu_op = 0; mem_write = 0; alu_src = 2'b01; reg…
[all …]
H A Dmips32.v25 wire mem_write; net
35 .mem_write(mem_write),
88 .wen(mem_write),
/dports/multimedia/libxine/xine-lib-1.2.11/contrib/nosefart/
H A Dnes6502.c1586 SLO(8, INDIR_X, mem_write, addr); in nes6502_execute()
1648 SLO(8, INDIR_Y, mem_write, addr); in nes6502_execute()
1723 RLA(8, INDIR_X, mem_write, addr); in nes6502_execute()
1783 RLA(8, INDIR_Y, mem_write, addr); in nes6502_execute()
1831 SRE(8, INDIR_X, mem_write, addr); in nes6502_execute()
1887 SRE(8, INDIR_Y, mem_write, addr); in nes6502_execute()
1935 RRA(8, INDIR_X, mem_write, addr); in nes6502_execute()
1991 RRA(8, INDIR_Y, mem_write, addr); in nes6502_execute()
2283 DCP(8, INDIR_X, mem_write, addr); in nes6502_execute()
2343 DCP(8, INDIR_Y, mem_write, addr); in nes6502_execute()
[all …]
/dports/audio/nosefart/nosefart-1.92f-mls/src/cpu/nes6502/
H A Dnes6502.c1581 SLO(8, INDIR_X, mem_write, addr);
1643 SLO(8, INDIR_Y, mem_write, addr);
1718 RLA(8, INDIR_X, mem_write, addr);
1778 RLA(8, INDIR_Y, mem_write, addr);
1826 SRE(8, INDIR_X, mem_write, addr);
1882 SRE(8, INDIR_Y, mem_write, addr);
1930 RRA(8, INDIR_X, mem_write, addr);
1986 RRA(8, INDIR_Y, mem_write, addr);
2278 DCP(8, INDIR_X, mem_write, addr);
2338 DCP(8, INDIR_Y, mem_write, addr);
[all …]
/dports/lang/micropython/micropython-1.17/tests/pyb/
H A Di2c_error.py30 i2c.mem_write(1, 76, 0x0A) # should succeed
34 i2c.mem_write(1, 77, 0x0A) # should fail
38 i2c.mem_write(1, 76, 0x0A) # should succeed
49 i2c.mem_write(1, 76, 0x0A) # should succeed
51 i2c.mem_write(1, 77, 0x0A) # should fail
54 i2c.mem_write(1, 76, 0x0A) # should succeed
/dports/emulators/py-unicorn/unicorn-1.0.2/tests/regress/
H A Dx86_64_msr.py36 uc.mem_write(scratch, buf)
62 uc.mem_write(scratch, buf)
126 uc.mem_write(CODE_ADDR, code)
127 uc.mem_write(SEGMENT_ADDR+0x18, 'AAAAAAAA')
144 uc.mem_write(CODE_ADDR, code)
145 uc.mem_write(SEGMENT_ADDR+0x18, 'AAAAAAAA')
H A Dinit.py18 mu.mem_write(0x1000000, "\x90")
22 mu.mem_write(sp, content)
43 mu.mem_write(address, str(value))
52 mu.mem_write(0x1000003, "\x90")
H A Dwrong_rip.py17 mu.mem_write(0, binary1 + binary2)
32 mu.mem_write(0, binary1 + binary2)
47 mu.mem_write(0, bin3)
58 mu.mem_write(0, bin4)
H A Dx86_gdt.py78 uc.mem_write(CODE_ADDR, CODE)
79 uc.mem_write(SEGMENT_ADDR+0x18, 'AAAA')
82 uc.mem_write(GDT_ADDR + 8, gdt_entry)
/dports/emulators/unicorn/unicorn-1.0.2/tests/regress/
H A Dx86_64_msr.py36 uc.mem_write(scratch, buf)
62 uc.mem_write(scratch, buf)
126 uc.mem_write(CODE_ADDR, code)
127 uc.mem_write(SEGMENT_ADDR+0x18, 'AAAAAAAA')
144 uc.mem_write(CODE_ADDR, code)
145 uc.mem_write(SEGMENT_ADDR+0x18, 'AAAAAAAA')
H A Dinit.py18 mu.mem_write(0x1000000, "\x90")
22 mu.mem_write(sp, content)
43 mu.mem_write(address, str(value))
52 mu.mem_write(0x1000003, "\x90")
H A Dwrong_rip.py17 mu.mem_write(0, binary1 + binary2)
32 mu.mem_write(0, binary1 + binary2)
47 mu.mem_write(0, bin3)
58 mu.mem_write(0, bin4)
H A Dx86_gdt.py78 uc.mem_write(CODE_ADDR, CODE)
79 uc.mem_write(SEGMENT_ADDR+0x18, 'AAAA')
82 uc.mem_write(GDT_ADDR + 8, gdt_entry)
/dports/emulators/py-unicorn/unicorn-1.0.2/bindings/python/
H A Dsample_x86.py113 mu.mem_write(ADDRESS, X86_CODE32)
161 mu.mem_write(ADDRESS, X86_CODE32)
205 mu.mem_write(ADDRESS, X86_CODE32_MEM_READ)
244 mu.mem_write(ADDRESS, X86_CODE32_JUMP)
274 mu.mem_write(ADDRESS, X86_CODE32_MEM_WRITE)
371 mu.mem_write(ADDRESS, X86_CODE32_LOOP)
401 mu.mem_write(ADDRESS, X86_CODE32_INOUT)
443 mu.mem_write(address, code)
484 mu.mem_write(ADDRESS, X86_CODE64)
571 mu.mem_write(ADDRESS, X86_CODE64_SYSCALL)
[all …]
/dports/emulators/unicorn/unicorn-1.0.2/bindings/python/
H A Dsample_x86.py113 mu.mem_write(ADDRESS, X86_CODE32)
161 mu.mem_write(ADDRESS, X86_CODE32)
205 mu.mem_write(ADDRESS, X86_CODE32_MEM_READ)
244 mu.mem_write(ADDRESS, X86_CODE32_JUMP)
274 mu.mem_write(ADDRESS, X86_CODE32_MEM_WRITE)
371 mu.mem_write(ADDRESS, X86_CODE32_LOOP)
401 mu.mem_write(ADDRESS, X86_CODE32_INOUT)
443 mu.mem_write(address, code)
484 mu.mem_write(ADDRESS, X86_CODE64)
571 mu.mem_write(ADDRESS, X86_CODE64_SYSCALL)
[all …]
/dports/emulators/py-unicorn/unicorn-1.0.2/bindings/ruby/
H A Dsample_x86.rb111 mu.mem_write(ADDRESS, X86_CODE32)
158 mu.mem_write(ADDRESS, X86_CODE32_LOOP)
191 mu.mem_write(ADDRESS, X86_CODE32_MEM_READ)
234 mu.mem_write(ADDRESS, X86_CODE32_MEM_WRITE)
298 mu.mem_write(address, code)
337 mu.mem_write(ADDRESS, X86_CODE32_INOUT)
379 mu.mem_write(ADDRESS, X86_CODE64)
468 mu.mem_write(ADDRESS, X86_CODE64_SYSCALL)
519 mu.mem_write(0, X86_CODE16)
/dports/emulators/unicorn/unicorn-1.0.2/bindings/ruby/
H A Dsample_x86.rb111 mu.mem_write(ADDRESS, X86_CODE32)
158 mu.mem_write(ADDRESS, X86_CODE32_LOOP)
191 mu.mem_write(ADDRESS, X86_CODE32_MEM_READ)
234 mu.mem_write(ADDRESS, X86_CODE32_MEM_WRITE)
298 mu.mem_write(address, code)
337 mu.mem_write(ADDRESS, X86_CODE32_INOUT)
379 mu.mem_write(ADDRESS, X86_CODE64)
468 mu.mem_write(ADDRESS, X86_CODE64_SYSCALL)
519 mu.mem_write(0, X86_CODE16)
/dports/devel/mspdebug/mspdebug-493f38b/drivers/
H A Dv3hil.c325 uint8_t mem_write[16]; in calibrate_dco() local
348 w32le(mem_write + 4, 3); in calibrate_dco()
349 mem_write[8] = data[0]; /* DCO */ in calibrate_dco()
350 mem_write[9] = data[2]; /* BCS1 */ in calibrate_dco()
351 mem_write[10] = data[4]; /* BCS2 */ in calibrate_dco()
352 mem_write[11] = 0; /* pad */ in calibrate_dco()
355 mem_write, 12) < 0) { in calibrate_dco()
371 uint8_t mem_write[16]; in calibrate_fll() local
394 w32le(mem_write + 4, 5); in calibrate_fll()
400 mem_write[13] = 0; /* pad */ in calibrate_fll()
[all …]
/dports/devel/zpu-binutils/zpu-toolchain-1.0/toolchain/gdb/gdb/nlm/
H A Dppc.c86 mem_write (char *dst, char *src, int len) in mem_write() function
180 mem_write (pc, breakpoint_insn, BREAKPOINT_SIZE); in set_step_traps()
186 mem_write (target, breakpoint_insn, BREAKPOINT_SIZE); in set_step_traps()
208 mem_write (saved_inst_pc, saved_inst, BREAKPOINT_SIZE); in clear_step_traps()
214 mem_write (saved_target_inst_pc, saved_target_inst, BREAKPOINT_SIZE); in clear_step_traps()
/dports/devel/zpu-gcc/zpu-toolchain-1.0/toolchain/gdb/gdb/nlm/
H A Dppc.c86 mem_write (char *dst, char *src, int len) in mem_write() function
180 mem_write (pc, breakpoint_insn, BREAKPOINT_SIZE); in set_step_traps()
186 mem_write (target, breakpoint_insn, BREAKPOINT_SIZE); in set_step_traps()
208 mem_write (saved_inst_pc, saved_inst, BREAKPOINT_SIZE); in clear_step_traps()
214 mem_write (saved_target_inst_pc, saved_target_inst, BREAKPOINT_SIZE); in clear_step_traps()
/dports/science/mmdb2/mmdb2-2.0.20/mmdb2/
H A Dmmdb_math_graph.cpp260 mmdb::mem_write ( name ,S,l ); in mem_write()
261 mmdb::mem_write ( type ,S,l ); in mem_write()
262 mmdb::mem_write ( property,S,l ); in mem_write()
263 mmdb::mem_write ( id ,S,l ); in mem_write()
264 mmdb::mem_write ( user_id ,S,l ); in mem_write()
265 mmdb::mem_write ( type_ext,S,l ); in mem_write()
1339 mmdb::mem_write ( k,S,l ); in mem_write()
1343 mmdb::mem_write ( k,S,l ); in mem_write()
1348 mmdb::mem_write ( k,S,l ); in mem_write()
1352 mmdb::mem_write ( k,S,l ); in mem_write()
[all …]
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/zpu/wishbone/
H A Dzpu_system.vhd68 signal mem_write : std_logic_vector(wordSize-1 downto 0); signal
84 mem_write => mem_write,
99 mem_write => mem_write,
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/opencores/zpu/wishbone/
H A Dzpu_system.vhd68 signal mem_write : std_logic_vector(wordSize-1 downto 0); signal
84 mem_write => mem_write,
99 mem_write => mem_write,
/dports/cad/ghdl/ghdl-1.0.0/testsuite/vests/vhdl-93/ashenden/compliant/
H A Dch_16_ch_16_04.vhd58 signal mem_sel, mem_write : boolean; signal
66 mem_write_buffer : block (mem_sel and mem_write) is
78 mem_sel <= true; mem_write <= true;
82 mem_sel <= false; mem_write <= false;
/dports/cad/ghdl/ghdl-1.0.0/testsuite/vests/vhdl-ams/ashenden/compliant/guards-and-blocks/
H A Dinline_04.vhd51 signal mem_sel, mem_write : boolean; signal
59 mem_write_buffer : block (mem_sel and mem_write) is
71 mem_sel <= true; mem_write <= true;
75 mem_sel <= false; mem_write <= false;

12345678910>>...25