Home
last modified time | relevance | path

Searched refs:muxcy (Results 1 – 6 of 6) sorted by relevance

/dports/cad/iverilog/verilog-11.0/tgt-fpga/
H A Dd-virtex.c101 edif_add_to_joint(jnt, muxcy, MUXCY_S); in virtex_or_wide()
121 edif_add_to_joint(jnt, muxcy, MUXCY_CI); in virtex_or_wide()
125 edif_add_to_joint(jnt, muxcy, MUXCY_CI); in virtex_or_wide()
129 muxcy_down = muxcy; in virtex_or_wide()
599 edif_cellref_t muxcy; in virtex_ge() local
620 muxcy_prev = muxcy; in virtex_ge()
784 edif_cellref_t muxcy0 = muxcy; in virtex_add()
796 muxcy = 0; in virtex_add()
801 if (muxcy) edif_add_to_joint(jnt, muxcy, MUXCY_CI); in virtex_add()
808 if (muxcy) edif_add_to_joint(jnt, muxcy, MUXCY_S); in virtex_add()
[all …]
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/ticket32/
H A Dmuxcy.vhdl4 entity muxcy is entity
7 end muxcy;
9 architecture behav of muxcy is
H A Dtestsuite.sh10 analyze --work=unisim muxcy.vhdl
/dports/cad/yosys/yosys-yosys-0.12/techlibs/xilinx/
H A Darith_map.v46 MUXCY muxcy ( instance
141 MUXCY muxcy ( instance
/dports/lang/pocl/pocl-1.8/examples/accel/rtl/simulation/vhdl/
H A Dgeneric_sru_sim.vhd88 -- muxcy xilinx primitive component (carry chain multiplexer) --
89 component muxcy
284 -- simple incrementer, using dedicated hardware (muxcy, xorcy)
288 -- inc_muxcy_inst: muxcy
333 -- zero_detector_muxcy: muxcy
/dports/lang/pocl/pocl-1.8/examples/accel/rtl/vhdl/
H A Dgeneric_sru.vhd85 -- muxcy xilinx primitive component (carry chain multiplexer) --
86 component muxcy
281 -- simple incrementer, using dedicated hardware (muxcy, xorcy)
285 inc_muxcy_inst: muxcy
328 zero_detector_muxcy: muxcy