Home
last modified time | relevance | path

Searched refs:nodep (Results 1 – 25 of 1696) sorted by relevance

12345678910>>...68

/dports/cad/verilator/verilator-4.216/src/
H A DV3Clean.cpp127 V3Number mask(nodep, cppWidth(nodep)); in insertClean()
136 if (!isClean(nodep)) insertClean(nodep); in ensureClean()
185 setClean(nodep, nodep->cleanOut()); in visit()
189 setClean(nodep, nodep->cleanOut()); in visit()
193 setClean(nodep, isClean(nodep->lhsp()) || isClean(nodep->rhsp())); in visit()
197 setClean(nodep, isClean(nodep->lhsp()) && isClean(nodep->rhsp())); in visit()
201 setClean(nodep, isClean(nodep->lhsp()) && isClean(nodep->rhsp())); in visit()
205 setClean(nodep, nodep->cleanOut()); in visit()
210 setClean(nodep, nodep->cleanOut()); in visit()
229 setClean(nodep, nodep->cleanOut()); in visit()
[all …]
H A DV3Hasher.cpp49 if (hashDType && nodep != nodep->dtypep()) iterateNull(nodep->dtypep()); // Node dtype in hashNodeAndIterate()
94 m_hash += nodep->left(); in visit()
95 m_hash += nodep->right(); in visit()
105 m_hash += nodep->name(); in visit()
111 m_hash += nodep->name(); in visit()
164 m_hash += nodep->name(); in visit()
193 m_hash += nodep->size(); in visit()
276 m_hash += nodep->off(); in visit()
480 iterate(nodep);
494 if (!nodep->user4()) { HasherVisitor{nodep}; } in operator ()()
[all …]
H A DV3EmitV.cpp57 putfs(nodep, nodep->verilogKwd() + " " + prefixNameProtect(nodep) + ";\n"); in visit()
216 putfs(nodep, nodep->verilogKwd()); in visitNodeDisplay()
231 visitNodeDisplay(nodep, nodep->filep(), nodep->fmtp()->text(), nodep->fmtp()->exprsp()); in visit()
237 visitNodeDisplay(nodep, nodep->filep(), nodep->text(), nodep->exprsp()); in visit()
240 visitNodeDisplay(nodep, nodep->fromp(), nodep->text(), nodep->exprsp()); in visit()
243 visitNodeDisplay(nodep, nodep->lhsp(), nodep->fmtp()->text(), nodep->fmtp()->exprsp()); in visit()
246 visitNodeDisplay(nodep, nullptr, nodep->text(), nodep->exprsp()); in visit()
480 emitVerilogFormat(nodep, nodep->emitVerilog(), nodep->lhsp()); in visit()
483 emitVerilogFormat(nodep, nodep->emitVerilog(), nodep->lhsp(), nodep->rhsp()); in visit()
486 emitVerilogFormat(nodep, nodep->emitVerilog(), nodep->lhsp(), nodep->rhsp(), in visit()
[all …]
H A DV3Width.cpp177 for (; nodep; nodep = nodep->nextp()) { in clearWidthRecurse()
1484 nodep->refDTypep(iterateEditMoveDTypep(nodep, nodep->subDTypep())); in visit()
1554 nodep->dtypep(nodep); in visit()
1648 nodep->dtypep(iterateEditMoveDTypep(nodep, nodep->subDTypep())); in visit()
1653 nodep->dtypep(iterateEditMoveDTypep(nodep, nodep->subDTypep())); in visit()
1743 nodep->dtypep(iterateEditMoveDTypep(nodep, nodep->subDTypep())); in visit()
1905 nodep->dtypep(iterateEditMoveDTypep(nodep, nodep->subDTypep())); in visit()
2040 nodep->dtypep(nodep); in visit()
2304 nodep->dtypep(nodep); in visit()
2321 nodep->dtypep(nodep); in visit()
[all …]
H A DV3Cast.cpp70 = new AstCCast{nodep->fileline(), nodep, needsize, nodep->widthMin()}; in insertCast()
89 if (castSize(nodep->backp()) != castSize(nodep) || !nodep->user1()) { in ensureCast()
98 if (nodep->isQuad() && !nodep->lhsp()->isQuad() && !VN_IS(nodep->lhsp(), CCast)) { in ensureLower32Cast()
115 nodep->user1(nodep->lhsp()->user1()); in visit()
120 nodep->user1(nodep->lhsp()->user1() | nodep->rhsp()->user1()); in visit()
126 nodep->user1(nodep->lhsp()->user1() | nodep->rhsp()->user1() | nodep->thsp()->user1()); in visit()
133 nodep->user1(nodep->lhsp()->user1() | nodep->rhsp()->user1() | nodep->thsp()->user1() in visit()
147 nodep->user1(nodep->lhsp()->user1()); in visit()
164 insertCast(nodep, castSize(nodep)); in visit()
172 nodep->user1(nodep->isQuad() || nodep->isWide()); in visit()
[all …]
H A DV3EmitCFunc.h562 displayNode(nodep, nodep->fmtp()->scopeNamep(), nodep->fmtp()->text(), in visit()
566 displayNode(nodep, nodep->scopeNamep(), nodep->text(), nodep->exprsp(), false); in visit()
569 displayNode(nodep, nullptr, nodep->text(), nodep->exprsp(), true); in visit()
572 displayNode(nodep, nullptr, nodep->text(), nodep->exprsp(), true); in visit()
600 emitOpName(nodep, nodep->emitC(), nodep->lhsp(), nodep->rhsp(), nullptr); in visit()
864 emitOpName(nodep, nodep->emitC(), nodep->seedp(), nullptr, nullptr); in visit()
973 emitOpName(nodep, nodep->emitC(), nodep->lhsp(), nodep->rhsp(), nullptr); in visit()
978 emitOpName(nodep, nodep->emitC(), nodep->lhsp(), nodep->rhsp(), nodep->thsp()); in visit()
1009 emitOpName(nodep, nodep->emitC(), nodep->condp(), nodep->expr1p(), nodep->expr2p()); in visit()
1042 emitOpName(nodep, nodep->emitC(), nodep->fromp(), nodep->lsbp(), nodep->thsp()); in visit()
[all …]
H A DV3LinkParse.cpp75 nodep->fileline(new FileLine(nodep->fileline())); in cleanFileline()
196 VL_DO_DANGLING(nodep->deleteTree(), nodep); in visit()
209 if (nodep->isParam() && !nodep->valuep() in visit()
223 nodep->fileline(), nodep->varType(), nodep->name(), VFlagChildDType(), dtypep); in visit()
225 VL_DO_DANGLING(nodep->deleteTree(), nodep); in visit()
283 if (nodep->isIfaceRef() && !nodep->isIfaceParent()) { in visit()
301 VL_DO_DANGLING(nodep->unlinkFrBack()->deleteTree(), nodep); in visit()
401 VL_DO_DANGLING(nodep->deleteTree(), nodep); in visit()
412 VL_DO_DANGLING(nodep->deleteTree(), nodep); in visit()
510 VL_DO_DANGLING(nodep->deleteTree(), nodep); in visit()
[all …]
H A DV3Premit.cpp74 virtual void visit(AstNode* nodep) override { iterateChildren(nodep); } in visit() argument
80 iterate(nodep); in PremitAssignVisitor()
134 && VN_AS(nodep->backp(), Sel)->widthp() == nodep) { in checkNode()
278 && nodep->width() < (1LL << nodep->rhsp()->widthMin())) { in visitShift()
312 virtual void visit(AstShiftL* nodep) override { visitShift(nodep); } in visit() argument
313 virtual void visit(AstShiftR* nodep) override { visitShift(nodep); } in visit() argument
314 virtual void visit(AstShiftRS* nodep) override { visitShift(nodep); } in visit() argument
370 if (nodep->expr1p()->isWide() && !VN_IS(nodep->condp(), Const) in visit()
392 nodep->addNextHere(new AstFFlush(nodep->fileline(), in visit()
412 virtual void visit(AstNode* nodep) override { iterateChildren(nodep); } in visit() argument
[all …]
H A DV3EmitXml.cpp58 if (!nodep->user1()) nodep->user1(++m_id); in outputId()
68 outputId(nodep); in outputTag()
93 if (nodep->op1p() || nodep->op2p() || nodep->op3p() || nodep->op4p()) { in outputChildrenEnd()
122 if (nodep->op3p()) { in visit()
135 if (nodep->op2p()) { in visit()
140 if (nodep->op3p()) { in visit()
145 if (nodep->op4p()) { in visit()
273 if (nodep->isModport()) mpn = nodep->modportName(); in visit()
316 iterate(nodep);
342 if (!nodep->dead() && (VN_IS(nodep, Module) || VN_IS(nodep, Iface)) in visit()
[all …]
H A DV3WidthCommit.h44 VL_DO_DANGLING(pushDeletep(nodep), nodep); in replaceWithSignedVersion()
49 VL_DO_DANGLING(replaceWithSignedVersion(nodep, nodep->lhsp()->unlinkFrBack()), nodep); in visit()
52 VL_DO_DANGLING(replaceWithSignedVersion(nodep, nodep->lhsp()->unlinkFrBack()), nodep); in visit()
78 if (((nodep->dtypep()->width() != nodep->num().width()) || !nodep->num().sized()) in newIfConstCommitSize()
80 V3Number num(nodep, nodep->dtypep()->width()); in newIfConstCommitSize()
95 nodep->dtypep(editOneDType(nodep->dtypep())); in editDType()
103 if (!nodep->user1()) iterate(nodep); in editOneDType()
142 nodep->v3warn(E_ENCAPSULATED, nodep->prettyNameQ() in classEncapCheck()
163 UASSERT_OBJ(nodep->dtypep(), nodep, "No dtype"); in visit()
214 classEncapCheck(nodep, nodep->varp(), VN_CAST(nodep->classOrPackagep(), Class)); in visit()
[all …]
H A DV3Simulate.h177 if (nodep) cout << ": " << nodep; in clearOptimizable()
231 constp->num().nodep(nodep); in allocConst()
406 UASSERT_OBJ(nodep->varp(), nodep, "Unlinked"); in visit()
452 UASSERT_OBJ(nodep->access().isReadOnly(), nodep, in visit()
528 UASSERT_OBJ(nodep->itemp(), nodep, "Not linked"); in visit()
544 nodep->numberOperate(newConst(nodep)->num(), fetchConst(nodep->lhsp())->num()); in visit()
552 nodep->numberOperate(newConst(nodep)->num(), fetchConst(nodep->lhsp())->num(), in visit()
561 nodep->numberOperate(newConst(nodep)->num(), fetchConst(nodep->lhsp())->num(), in visit()
571 nodep->numberOperate(newConst(nodep)->num(), fetchConst(nodep->lhsp())->num(), in visit()
588 newValue(nodep, fetchValue(nodep->rhsp())); in visit()
[all …]
H A DV3LinkResolve.cpp88 VL_DO_DANGLING(nodep->replaceWith(nodep->bodysp()->unlinkFrBackWithNext()), nodep); in visit()
121 nodep->v3error(nodep->prettyNameQ() in visit()
139 if (nodep->dpiExport()) nodep->scopeNamep(new AstScopeName{nodep->fileline(), false}); in visit()
143 if (nodep->taskp() && (nodep->taskp()->dpiContext() || nodep->taskp()->dpiExport())) { in visit()
255 if (!nodep->user2() && nodep->isDefault() && nodep->nextp()) { in visit()
273 VL_DO_DANGLING(pushDeletep(nodep), nodep); in visit()
278 VL_DO_DANGLING(pushDeletep(nodep), nodep); in visit()
437 expectFormat(nodep, nodep->text(), nodep->exprsp(), true); in visit()
441 expectFormat(nodep, nodep->text(), nodep->exprsp(), true); in visit()
447 UASSERT_OBJ(nodep->text() == "", nodep, in visit()
[all …]
H A DV3Tristate.cpp372 V3Number num(nodep, nodep->width()); in getEnp()
475 if ((nodep->op1p() && nodep->op1p()->user1p() && !VN_IS(nodep->op1p(), Var)) in checkUnhandled()
476 || (nodep->op2p() && nodep->op2p()->user1p() && !VN_IS(nodep->op1p(), Var)) in checkUnhandled()
477 || (nodep->op3p() && nodep->op3p()->user1p() && !VN_IS(nodep->op1p(), Var)) in checkUnhandled()
478 || (nodep->op4p() && nodep->op4p()->user1p() && !VN_IS(nodep->op1p(), Var))) { in checkUnhandled()
815 associateLogic(nodep->rhsp(), nodep); in visit()
847 associateLogic(nodep->lhsp(), nodep); in visitAndOr()
848 associateLogic(nodep->rhsp(), nodep); in visitAndOr()
906 associateLogic(nodep->rhsp(), nodep); in visitAssign()
1295 if (nodep->access().isWriteOrRW()) associateLogic(nodep, nodep->varp()); in visit()
[all …]
H A DV3LinkDot.cpp319 if (nodep->modp()) nodep->modp()->user1p(symp); in insertCell()
320 checkDuplicate(abovep, nodep, nodep->origName()); in insertCell()
348 checkDuplicate(abovep, nodep, nodep->name()); in insertInline()
908 if (nodep->modp()) iterate(nodep->modp()); in visit()
937 if (nodep->name() == "" && nodep->unnamed()) { in visit()
1012 if (!nodep->isExternProto() && nodep->fvarp() && !VN_IS(nodep->fvarp(), Var)) { in visit()
1298 VL_DO_DANGLING(nodep->deleteTree(), nodep); in visit()
1374 if (nodep->dead() || !nodep->user4()) { in visit()
1728 VL_DO_DANGLING(pushDeletep(nodep), nodep); in visit()
1898 if (nodep->taskp() && VN_IS(nodep->taskp(), Task) && VN_IS(nodep, FuncRef)) { in taskFuncSwapCheck()
[all …]
H A DV3Scope.cpp111 if (VN_IS(nodep, Package)) m_packageScopes.emplace(nodep, m_scopep); in visit()
133 m_modp = nodep; in visit()
280 UASSERT_OBJ(nodep->varp(), nodep, "Unlinked"); in visit()
299 nodep->scopeAttrp(new AstText(nodep->fileline(), prefix)); in visit()
303 nodep->scopeEntrp(new AstText(nodep->fileline(), prefix)); in visit()
312 virtual void visit(AstNode* nodep) override { iterateChildren(nodep); } in visit() argument
316 explicit ScopeVisitor(AstNetlist* nodep) { iterate(nodep); } in ScopeVisitor() argument
349 VL_DO_DANGLING(pushDeletep(nodep->unlinkFrBack()), nodep); in movedDeleteOrIterate()
371 UASSERT_OBJ(nodep->taskp(), nodep, "Unlinked"); in visit()
390 virtual void visit(AstNode* nodep) override { iterateChildren(nodep); } in visit() argument
[all …]
H A DV3Dead.cpp64 explicit DeadModVisitor(AstNodeModule* nodep) { iterate(nodep); } in DeadModVisitor() argument
145 if (nodep->scopep()) nodep->scopep()->user1Inc(); in visit()
150 if (nodep->aboveScopep()) nodep->aboveScopep()->user1Inc(); in visit()
154 if (!nodep->isTop() && !nodep->varsp() && !nodep->blocksp() && !nodep->finalClksp()) { in visit()
174 if (nodep->varp()) nodep->varp()->user1Inc(); in visit()
196 UASSERT_OBJ(!(m_elimCells && nodep->typedefp()), nodep, in visit()
217 if (nodep->classp()) nodep->classp()->user1Inc(); in visit()
238 if (nodep->varp()) nodep->varp()->user1Inc(); in visit()
266 if (nodep->scopep()) nodep->scopep()->user1Inc(); in visit()
273 if (mightElimVar(nodep)) m_varsp.push_back(nodep); in visit()
[all …]
H A DV3LinkJump.cpp61 if (VN_IS(nodep, JumpLabel)) return VN_AS(nodep, JumpLabel); // Done in findAddLabel()
168 VL_DO_DANGLING(nodep->deleteTree(), nodep); in visit()
179 VL_DO_DANGLING(nodep->deleteTree(), nodep); in visit()
200 VL_DO_DANGLING(pushDeletep(nodep->unlinkFrBack()), nodep); in visit()
218 nodep->addPrev(new AstJumpGo(nodep->fileline(), labelp)); in visit()
221 VL_DO_DANGLING(pushDeletep(nodep), nodep); in visit()
230 nodep->addNextHere(new AstJumpGo(nodep->fileline(), labelp)); in visit()
233 VL_DO_DANGLING(pushDeletep(nodep), nodep); in visit()
246 VL_DO_DANGLING(pushDeletep(nodep), nodep); in visit()
270 VL_DO_DANGLING(pushDeletep(nodep), nodep); in visit()
[all …]
H A DV3Begin.cpp106 nodep->name(dot(m_unnamedScope, nodep->name())); in visit()
185 VL_DO_DANGLING(pushDeletep(nodep), nodep); in visit()
191 nodep->name(dot(m_unnamedScope, nodep->name())); in visit()
200 nodep->name(dot(m_unnamedScope, nodep->name())); in visit()
211 nodep->name(dot(m_namedScope, nodep->name())); in visit()
251 } else if (nodep->uniquePragma() || nodep->unique0Pragma() || nodep->priorityPragma()) { in visit()
261 virtual void visit(AstNode* nodep) override { iterateChildren(nodep); } in visit() argument
285 nodep->name(nodep->taskp()->name()); in visit()
292 nodep->name(nodep->varp()->name()); in visit()
300 if (nodep->cellp()) nodep->cellName(nodep->cellp()->name()); in visit()
[all …]
H A DV3Broken.cpp150 UASSERT_OBJ(s_allocTable.isAllocated(nodep), nodep, in visit()
153 UASSERT_OBJ(nodep->brokenState() != m_brokenCntCurrent, nodep, in visit()
162 explicit BrokenMarkVisitor(AstNetlist* nodep) { iterate(nodep); } in BrokenMarkVisitor() argument
187 UASSERT_OBJ(nodep->width() == nodep->widthMin() in checkWidthMin()
198 UASSERT_OBJ(nodep->dtypep()->brokeExists(), nodep, in processEnter()
200 UASSERT_OBJ(nodep->dtypep(), nodep, in processEnter()
205 UASSERT_OBJ(nodep->dtypep(), nodep, in processEnter()
208 UASSERT_OBJ(!nodep->dtypep(), nodep, in processEnter()
211 UASSERT_OBJ(!nodep->getChildDTypep(), nodep, in processEnter()
227 nodep = nodep->nextp(); in processAndIterateList()
[all …]
H A DV3AssertPre.cpp80 VL_DO_DANGLING(pushDeletep(nodep), nodep); in visit()
94 if (!nodep->immediate()) nodep->sentreep(newSenTree(nodep)); in visit()
108 nodep->sentreep(newSenTree(nodep)); in visit()
109 VL_DO_DANGLING(pushDeletep(nodep), nodep); in visit()
114 nodep->sentreep(newSenTree(nodep)); in visit()
127 nodep->sentreep(newSenTree(nodep)); in visit()
128 VL_DO_DANGLING(pushDeletep(nodep), nodep); in visit()
140 nodep->sentreep(newSenTree(nodep)); in visit()
141 VL_DO_DANGLING(pushDeletep(nodep), nodep); in visit()
158 nodep->sentreep(newSenTree(nodep)); in visit()
[all …]
H A DV3Name.cpp54 } else if (VN_IS(nodep, CFunc) && VN_AS(nodep, CFunc)->isConstructor()) { in rename()
65 nodep->user1(1); in rename()
73 m_modp = nodep; in visit()
80 rename(nodep, in visit()
94 nodep->name(nodep->varp()->name()); in visit()
99 rename(nodep, (!nodep->modp()->modPublic() && !VN_IS(nodep->modp(), ClassPackage))); in visit()
117 if (nodep->aboveScopep()) iterate(nodep->aboveScopep()); in visit()
118 if (nodep->aboveCellp()) iterate(nodep->aboveCellp()); in visit()
121 nodep->name(nodep->isTop() ? "TOP" in visit()
132 virtual void visit(AstNode* nodep) override { iterateChildren(nodep); } in visit() argument
[all …]
H A DV3Const.cpp47 if (nodep->varp()) nodep->varp()->user4(1); in visit()
1304 while (nodep && VN_IS(nodep, Comment)) { nodep = nodep->nextp(); } in afterComment()
1408 return (VN_IS(nodep, And) || VN_IS(nodep, Or) || VN_IS(nodep, Xor)); in ifConcatMergeableBiop()
1540 V3Number num(nodep, nodep->width()); in replaceConst()
1546 V3Number num(nodep, nodep->width()); in replaceConst()
1553 V3Number num(nodep, nodep->width()); in replaceConst()
2618 return (!nodep->nextp() && nodep->backp()->nextp() != nodep); in onlySenItemInSenTree()
2656 UASSERT_OBJ(!(nodep->hasVar() && !nodep->varrefp()), nodep, in visit()
3009 VL_DO_DANGLING(replaceConstString(nodep, nodep->name()), nodep); in visit()
3600 nodep = visitor.mainAcceptEdit(nodep); in constifyEdit()
[all …]
H A DV3Inline.cpp158 if (!nodep->classOrPackagep() && !VN_IS(nodep, MethodCall)) nodep->taskp(nullptr); in visit()
248 virtual void visit(AstCell* nodep) override { nodep->user4p(nodep->clonep()); } in visit() argument
317 nodep->fileline(), new AstVarRef(nodep->fileline(), nodep, VAccess::WRITE), in visit()
340 nodep->fileline(), new AstVarRef(nodep->fileline(), nodep, VAccess::WRITE), in visit()
349 nodep->fileline(), new AstVarRef(nodep->fileline(), nodep, VAccess::WRITE), in visit()
380 if (!nodep->isFuncLocal() && !nodep->isClassMember()) nodep->inlineAttrReset(name); in visit()
405 VL_DO_DANGLING(nodep->deleteTree(), nodep); in visit()
413 nodep->name(nodep->varp()->name()); in visit()
553 = new AstCellInline(nodep->fileline(), nodep->name(), nodep->modp()->origName(), in visit()
602 VL_DO_DANGLING(pushDeletep(nodep), nodep); in visit()
[all …]
H A DV3Unknown.cpp188 VL_DO_DANGLING(V3Const::constifyEdit(nodep), nodep); in visitEqNeqCase()
209 VL_DO_DANGLING(nodep->deleteTree(), nodep); in visitEqNeqCase()
248 VL_DO_DANGLING(nodep->deleteTree(), nodep); in visitEqNeqWild()
264 VL_DO_DANGLING(nodep->deleteTree(), nodep); in visit()
285 VL_DO_DANGLING(nodep->deleteTree(), nodep); in visit()
309 V3Number numb1(nodep, nodep->width()); in visit()
311 V3Number numbx(nodep, nodep->width()); in visit()
323 VL_DO_DANGLING(nodep->deleteTree(), nodep); in visit()
357 VL_DO_DANGLING(nodep->deleteTree(), nodep); in visit()
391 V3Number xnum(nodep, nodep->width()); in visit()
[all …]
H A DV3Assert.cpp56 nodep->fmtp()->text(assertDisplayMessage(nodep, prefix, nodep->fmtp()->text())); in replaceDisplay()
194 VL_DO_DANGLING(pushDeletep(nodep), nodep); in newPslAssertion()
200 if (nodep->uniquePragma() || nodep->unique0Pragma()) { in visit()
273 if (nodep->parallelPragma() || nodep->uniquePragma() || nodep->unique0Pragma()) { in visit()
289 } else if (nodep->casex() || nodep->casez() || nodep->caseInside()) { in visit()
330 UASSERT_OBJ(VN_IS(nodep->ticksp(), Const), nodep, in visit()
357 nodep->replaceWith(nodep->exprp()->unlinkFrBack()); in visit()
358 VL_DO_DANGLING(pushDeletep(nodep), nodep); in visit()
419 VL_DO_DANGLING(pushDeletep(nodep), nodep); in visit()
423 newPslAssertion(nodep, nodep->failsp()); in visit()
[all …]

12345678910>>...68