Home
last modified time | relevance | path

Searched refs:nybble (Results 1 – 25 of 437) sorted by relevance

12345678910>>...18

/dports/games/pvpgn/pvpgn-1.8.5/scripts/
H A Dreform.awk15 function hexvalue(nybble) {
16 if (nybble=="0") { return 0; }
17 if (nybble=="1") { return 1; }
18 if (nybble=="2") { return 2; }
19 if (nybble=="3") { return 3; }
20 if (nybble=="4") { return 4; }
21 if (nybble=="5") { return 5; }
22 if (nybble=="6") { return 6; }
23 if (nybble=="7") { return 7; }
24 if (nybble=="8") { return 8; }
[all …]
/dports/games/libretro-mgba/mgba-6186d45/src/util/
H A Dstring.c345 if (nybble < 0) { in hex32()
348 value |= nybble; in hex32()
361 if (nybble < 0) { in hex24()
364 value |= nybble; in hex24()
378 if (nybble < 0) { in hex16()
381 value |= nybble; in hex16()
395 if (nybble < 0) { in hex12()
398 value |= nybble; in hex12()
415 value |= nybble; in hex8()
426 if (nybble < 0) { in hex4()
[all …]
/dports/emulators/mgba/mgba-0.9.2/src/util/
H A Dstring.c362 if (nybble < 0) { in hex32()
365 value |= nybble; in hex32()
378 if (nybble < 0) { in hex24()
381 value |= nybble; in hex24()
395 if (nybble < 0) { in hex16()
398 value |= nybble; in hex16()
412 if (nybble < 0) { in hex12()
415 value |= nybble; in hex12()
432 value |= nybble; in hex8()
443 if (nybble < 0) { in hex4()
[all …]
/dports/devel/sdl12/SDL-1.2.15/src/audio/
H A DSDL_wave.c82 Uint8 nybble, Sint16 *coeff) in MS_ADPCM_nibble() argument
94 if ( nybble & 0x08 ) { in MS_ADPCM_nibble()
97 new_sample += state->iDelta * nybble; in MS_ADPCM_nibble()
120 Sint8 nybble, stereo; in MS_ADPCM_decode() local
191 nybble = (*encoded)>>4; in MS_ADPCM_decode()
198 nybble = (*encoded)&0x0F; in MS_ADPCM_decode()
270 if ( nybble & 0x04 ) delta += step; in IMA_ADPCM_nibble()
273 if ( nybble & 0x08 ) delta = -delta; in IMA_ADPCM_nibble()
300 Sint8 nybble; in Fill_IMA_ADPCM_block() local
305 nybble = (*encoded)&0x0F; in Fill_IMA_ADPCM_block()
[all …]
/dports/emulators/mess/mame-mame0226/3rdparty/SDL2/src/audio/
H A DSDL_wave.c98 if (nybble & 0x08) { in MS_ADPCM_nibble()
124 Sint8 nybble; in MS_ADPCM_decode() local
195 nybble = (*encoded) >> 4; in MS_ADPCM_decode()
202 nybble = (*encoded) & 0x0F; in MS_ADPCM_decode()
285 if (nybble & 0x04) in IMA_ADPCM_nibble()
287 if (nybble & 0x02) in IMA_ADPCM_nibble()
289 if (nybble & 0x01) in IMA_ADPCM_nibble()
291 if (nybble & 0x08) in IMA_ADPCM_nibble()
314 Sint8 nybble; in Fill_IMA_ADPCM_block() local
319 nybble = (*encoded) & 0x0F; in Fill_IMA_ADPCM_block()
[all …]
/dports/emulators/mame/mame-mame0226/3rdparty/SDL2/src/audio/
H A DSDL_wave.c98 if (nybble & 0x08) { in MS_ADPCM_nibble()
124 Sint8 nybble; in MS_ADPCM_decode() local
195 nybble = (*encoded) >> 4; in MS_ADPCM_decode()
202 nybble = (*encoded) & 0x0F; in MS_ADPCM_decode()
285 if (nybble & 0x04) in IMA_ADPCM_nibble()
287 if (nybble & 0x02) in IMA_ADPCM_nibble()
289 if (nybble & 0x01) in IMA_ADPCM_nibble()
291 if (nybble & 0x08) in IMA_ADPCM_nibble()
314 Sint8 nybble; in Fill_IMA_ADPCM_block() local
319 nybble = (*encoded) & 0x0F; in Fill_IMA_ADPCM_block()
[all …]
/dports/games/warmux/warmux-11.04/build/android/jni/sdl/src/audio/
H A DSDL_wave.c84 Uint8 nybble, Sint16 *coeff) in MS_ADPCM_nibble() argument
96 if ( nybble & 0x08 ) { in MS_ADPCM_nibble()
122 Sint8 nybble, stereo; in MS_ADPCM_decode() local
193 nybble = (*encoded)>>4; in MS_ADPCM_decode()
200 nybble = (*encoded)&0x0F; in MS_ADPCM_decode()
274 if ( nybble & 0x04 ) delta += step; in IMA_ADPCM_nibble()
277 if ( nybble & 0x08 ) delta = -delta; in IMA_ADPCM_nibble()
281 state->index += index_table[nybble]; in IMA_ADPCM_nibble()
304 Sint8 nybble; in Fill_IMA_ADPCM_block() local
309 nybble = (*encoded)&0x0F; in Fill_IMA_ADPCM_block()
[all …]
/dports/graphics/urho3d/Urho3D-1.7.1/Source/ThirdParty/SDL/src/audio/
H A DSDL_wave.c98 if (nybble & 0x08) { in MS_ADPCM_nibble()
124 Sint8 nybble; in MS_ADPCM_decode() local
195 nybble = (*encoded) >> 4; in MS_ADPCM_decode()
202 nybble = (*encoded) & 0x0F; in MS_ADPCM_decode()
285 if (nybble & 0x04) in IMA_ADPCM_nibble()
287 if (nybble & 0x02) in IMA_ADPCM_nibble()
289 if (nybble & 0x01) in IMA_ADPCM_nibble()
291 if (nybble & 0x08) in IMA_ADPCM_nibble()
314 Sint8 nybble; in Fill_IMA_ADPCM_block() local
319 nybble = (*encoded) & 0x0F; in Fill_IMA_ADPCM_block()
[all …]
/dports/graphics/milton/milton-1.9.1/third_party/SDL2-2.0.8/src/audio/
H A DSDL_wave.c98 if (nybble & 0x08) { in MS_ADPCM_nibble()
124 Sint8 nybble; in MS_ADPCM_decode() local
195 nybble = (*encoded) >> 4; in MS_ADPCM_decode()
202 nybble = (*encoded) & 0x0F; in MS_ADPCM_decode()
285 if (nybble & 0x04) in IMA_ADPCM_nibble()
287 if (nybble & 0x02) in IMA_ADPCM_nibble()
289 if (nybble & 0x01) in IMA_ADPCM_nibble()
291 if (nybble & 0x08) in IMA_ADPCM_nibble()
314 Sint8 nybble; in Fill_IMA_ADPCM_block() local
319 nybble = (*encoded) & 0x0F; in Fill_IMA_ADPCM_block()
[all …]
/dports/lang/huc/huc-3.21/include/pce/
H A Dmouse.asm2 ;(horiz most-significant-nybble/horiz LSN/vert MSN/vert LSN).
130 asl a ; upper nybble of 8-bit value - shift it
135 jsr msbutt ; read buttons (toggle port/read other nybble)
147 and #$0F ; lower nybble of 8-bit value
149 bsr msbutt ; read buttons (toggle port/read other nybble)
161 asl a ; upper nybble of 8-bit value - shift it
166 bsr msbutt ; read buttons (toggle port/read other nybble)
178 and #$0F ; lower nybble of 8-bit value
180 bsr msbutt ; read buttons (toggle port/read other nybble)
H A Djoypad.asm192 .rdlp: lda #$01 ; first nybble
196 lda joyport ; fetch first nybble
204 lda joyport ; fetch second nybble
/dports/sysutils/syslinux/syslinux-6.03/com32/lib/sys/vesa/
H A Dbackground.c321 int nybble, prev; in read_lss16_file() local
357 nybble = byte & 0xf; in read_lss16_file()
360 nybble = byte >> 4; in read_lss16_file()
366 if (nybble != prev) { in read_lss16_file()
367 *bgptr++ = color = colors[prev = nybble]; in read_lss16_file()
375 if (nybble == 0) { in read_lss16_file()
378 count = nybble; in read_lss16_file()
384 count = nybble + 16; in read_lss16_file()
389 count += nybble << 4; in read_lss16_file()
/dports/devel/srecord/srecord-1.64/srecord/string/
H A Durl_encode.cc26 nybble(unsigned x) in nybble() function
59 result << nybble(c >> 4); in string_url_encode()
60 result << nybble(c); in string_url_encode()
/dports/security/courier-authlib-base/courier-authlib-0.71.4/
H A Dcramlib.c18 static int nybble(int c) in nybble() function
41 int a=nybble(hashsecret[i*2]), b=nybble(hashsecret[i*2+1]); in do_auth_verify_cram()
57 int a=nybble(response[i*2]), b=nybble(response[i*2+1]); in do_auth_verify_cram()
/dports/databases/courier-authlib-pgsql/courier-authlib-0.71.4/
H A Dcramlib.c18 static int nybble(int c) in nybble() function
41 int a=nybble(hashsecret[i*2]), b=nybble(hashsecret[i*2+1]); in do_auth_verify_cram()
57 int a=nybble(response[i*2]), b=nybble(response[i*2+1]); in do_auth_verify_cram()
/dports/databases/courier-authlib-sqlite/courier-authlib-0.71.4/
H A Dcramlib.c18 static int nybble(int c) in nybble() function
41 int a=nybble(hashsecret[i*2]), b=nybble(hashsecret[i*2+1]); in do_auth_verify_cram()
57 int a=nybble(response[i*2]), b=nybble(response[i*2+1]); in do_auth_verify_cram()
/dports/databases/courier-authlib-userdb/courier-authlib-0.71.4/
H A Dcramlib.c18 static int nybble(int c) in nybble() function
41 int a=nybble(hashsecret[i*2]), b=nybble(hashsecret[i*2+1]); in do_auth_verify_cram()
57 int a=nybble(response[i*2]), b=nybble(response[i*2+1]); in do_auth_verify_cram()
/dports/databases/courier-authlib-mysql/courier-authlib-0.71.4/
H A Dcramlib.c18 static int nybble(int c) in nybble() function
41 int a=nybble(hashsecret[i*2]), b=nybble(hashsecret[i*2+1]); in do_auth_verify_cram()
57 int a=nybble(response[i*2]), b=nybble(response[i*2+1]); in do_auth_verify_cram()
/dports/net/courier-authlib-ldap/courier-authlib-0.71.4/
H A Dcramlib.c18 static int nybble(int c) in nybble() function
41 int a=nybble(hashsecret[i*2]), b=nybble(hashsecret[i*2+1]); in do_auth_verify_cram()
57 int a=nybble(response[i*2]), b=nybble(response[i*2+1]); in do_auth_verify_cram()
/dports/mail/courier-authlib-vchkpw/courier-authlib-0.71.4/
H A Dcramlib.c18 static int nybble(int c) in nybble() function
41 int a=nybble(hashsecret[i*2]), b=nybble(hashsecret[i*2+1]); in do_auth_verify_cram()
57 int a=nybble(response[i*2]), b=nybble(response[i*2+1]); in do_auth_verify_cram()
/dports/databases/courier-authlib-usergdbm/courier-authlib-0.71.4/
H A Dcramlib.c18 static int nybble(int c) in nybble() function
41 int a=nybble(hashsecret[i*2]), b=nybble(hashsecret[i*2+1]); in do_auth_verify_cram()
57 int a=nybble(response[i*2]), b=nybble(response[i*2+1]); in do_auth_verify_cram()
/dports/devel/mercurial/mercurial-6.0/rust/hg-core/src/revlog/
H A Dnodemap.rs195 fn get(&self, nybble: u8) -> Element { in get()
196 self.0[nybble as usize].into() in get()
199 fn set(&mut self, nybble: u8, element: Element) { in set()
200 self.0[nybble as usize] = element.into() in set()
479 let mut nybble = deepest.nybble; in insert() localVariable
491 nybble = new_nybble; in insert()
513 if block.get(visited.nybble) == to_write { in insert()
516 block.set(visited.nybble, to_write); in insert()
595 nybble: u8, field
611 let element = self.nt[visit].get(nybble); in next()
[all …]
/dports/audio/praat/praat-6.2.03/external/gsl/
H A Dgsl_ieee-utils__make_rep.c143 static char nybble[16][5]={ /* include space for the \0 */ variable
154 c=nybble[i & 0x0f ]; in sprint_nybble()
162 c=nybble[(i & 0xf0)>>4]; in sprint_byte()
164 c=nybble[i & 0x0f]; in sprint_byte()
/dports/math/gsl/gsl-2.7/ieee-utils/
H A Dmake_rep.c143 static char nybble[16][5]={ /* include space for the \0 */ variable
154 c=nybble[i & 0x0f ]; in sprint_nybble()
162 c=nybble[(i & 0xf0)>>4]; in sprint_byte()
164 c=nybble[i & 0x0f]; in sprint_byte()
/dports/www/chromium-legacy/chromium-88.0.4324.182/native_client/tests/toolchain/
H A Dpic_constant_lib.c39 static const char nybble[16][5]={ variable
59 const char *c = nybble[i & 0x0f]; in sprint_nybble()

12345678910>>...18