Home
last modified time | relevance | path

Searched refs:op_lh (Results 1 – 25 of 37) sorted by relevance

12

/dports/emulators/mess/mame-mame0226/src/devices/cpu/score/
H A Dscore.h89 void op_lh();
H A Dscore.cpp44 …&score7_cpu_device::op_lw , &score7_cpu_device::op_lh , &score7_cpu_device::op_lhu , &…
1049 void score7_cpu_device::op_lh() in op_lh() function in score7_cpu_device
/dports/emulators/mame/mame-mame0226/src/devices/cpu/score/
H A Dscore.h89 void op_lh();
H A Dscore.cpp44 …&score7_cpu_device::op_lw , &score7_cpu_device::op_lh , &score7_cpu_device::op_lhu , &…
1049 void score7_cpu_device::op_lh() in op_lh() function in score7_cpu_device
/dports/cad/ghdl/ghdl-1.0.0/testsuite/vests/vhdl-93/ashenden/compliant/
H A Dch_15_ctrl-b.vhd522 elsif IR_opcode = op_lh or IR_opcode = op_lhu then
532 … or ( (IR_opcode = op_lh or IR_opcode = op_lhu) and To_bit(mem_addr(1)) /= '0' ) then
570 elsif IR_opcode = op_lh or IR_opcode = op_lhu then
884 when op_lb | op_lh | op_lw | op_lbu | op_lhu =>
H A Dch_15_dlxi.vhd73 constant op_lh : dlx_opcode := B"100001"; constant
H A Dch_15_dlxi-b.vhd288 when op_lb | op_lh | op_lw | op_lbu | op_lhu | op_lf | op_ld =>
H A Dch_15_dlx-b.vhd433 when op_lh =>
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/wishbone/wb_lm32/generated/
H A Dlm32_allprofiles.v7100 wire op_lh; net
7252 assign op_lh = instruction[ 31:26] == 6'b000111;
7332 assign load = op_lb | op_lbu | op_lh | op_lhu | op_lw;
20037 wire op_lh; net
20189 assign op_lh = instruction[ 31:26] == 6'b000111;
32943 wire op_lh; net
45584 wire op_lh; net
58391 wire op_lh; net
71120 wire op_lh; net
83885 wire op_lh; net
[all …]
/dports/databases/mongodb36/mongodb-src-r3.6.23/src/third_party/mozjs-45/extract/js/src/jit/mips32/
H A DSimulator-mips32.cpp428 case op_lh: in instructionType()
3089 case op_lh: in decodeTypeImmediate()
3204 case op_lh: in decodeTypeImmediate()
/dports/www/firefox-esr/firefox-91.8.0/js/src/jit/mips32/
H A DSimulator-mips32.cpp416 case op_lh: in instructionType()
3207 case op_lh: in decodeTypeImmediate()
3330 case op_lh: in decodeTypeImmediate()
/dports/www/firefox/firefox-99.0/js/src/jit/mips32/
H A DSimulator-mips32.cpp416 case op_lh: in instructionType()
3207 case op_lh: in decodeTypeImmediate()
3330 case op_lh: in decodeTypeImmediate()
/dports/www/firefox-legacy/firefox-52.8.0esr/js/src/jit/mips32/
H A DSimulator-mips32.cpp427 case op_lh: in instructionType()
3100 case op_lh: in decodeTypeImmediate()
3215 case op_lh: in decodeTypeImmediate()
/dports/mail/thunderbird/thunderbird-91.8.0/js/src/jit/mips32/
H A DSimulator-mips32.cpp416 case op_lh: in instructionType()
3207 case op_lh: in decodeTypeImmediate()
3330 case op_lh: in decodeTypeImmediate()
/dports/lang/spidermonkey78/firefox-78.9.0/js/src/jit/mips32/
H A DSimulator-mips32.cpp416 case op_lh: in instructionType()
3197 case op_lh: in decodeTypeImmediate()
3320 case op_lh: in decodeTypeImmediate()
/dports/www/firefox-legacy/firefox-52.8.0esr/js/src/jit/mips64/
H A DSimulator-mips64.cpp458 case op_lh: in instructionType()
3357 case op_lh: in decodeTypeImmediate()
3525 case op_lh: in decodeTypeImmediate()
/dports/lang/spidermonkey60/firefox-60.9.0/js/src/jit/mips32/
H A DSimulator-mips32.cpp413 case op_lh: in instructionType()
3219 case op_lh: in decodeTypeImmediate()
3342 case op_lh: in decodeTypeImmediate()
/dports/databases/mongodb36/mongodb-src-r3.6.23/src/third_party/mozjs-45/extract/js/src/jit/mips64/
H A DSimulator-mips64.cpp457 case op_lh: in instructionType()
3361 case op_lh: in decodeTypeImmediate()
3529 case op_lh: in decodeTypeImmediate()
/dports/lang/spidermonkey60/firefox-60.9.0/js/src/jit/mips64/
H A DSimulator-mips64.cpp443 case op_lh: in instructionType()
3519 case op_lh: in decodeTypeImmediate()
3694 case op_lh: in decodeTypeImmediate()
/dports/lang/spidermonkey78/firefox-78.9.0/js/src/jit/mips64/
H A DSimulator-mips64.cpp449 case op_lh: in instructionType()
3575 case op_lh: in decodeTypeImmediate()
3750 case op_lh: in decodeTypeImmediate()
/dports/www/firefox-esr/firefox-91.8.0/js/src/jit/mips64/
H A DSimulator-mips64.cpp450 case op_lh: in instructionType()
3800 case op_lh: in decodeTypeImmediate()
3976 case op_lh: in decodeTypeImmediate()
/dports/www/firefox/firefox-99.0/js/src/jit/mips64/
H A DSimulator-mips64.cpp450 case op_lh: in instructionType()
3863 case op_lh: in decodeTypeImmediate()
4039 case op_lh: in decodeTypeImmediate()
/dports/mail/thunderbird/thunderbird-91.8.0/js/src/jit/mips64/
H A DSimulator-mips64.cpp450 case op_lh: in instructionType()
3800 case op_lh: in decodeTypeImmediate()
3976 case op_lh: in decodeTypeImmediate()
/dports/databases/mongodb36/mongodb-src-r3.6.23/src/third_party/mozjs-45/extract/js/src/jit/mips-shared/
H A DAssembler-mips-shared.h264 op_lh = 33 << OpcodeShift, enumerator
H A DAssembler-mips-shared.cpp712 return writeInst(InstImm(op_lh, rs, rd, Imm16(off)).encode()); in as_lh()

12