Home
last modified time | relevance | path

Searched refs:oscillator (Results 1 – 25 of 2593) sorted by relevance

12345678910>>...104

/dports/audio/gsequencer/gsequencer-3.10.4/ags/X/machine/
H A Dags_oscillator.c144 oscillator->flags = 0; in ags_oscillator_init()
316 AgsOscillator *oscillator; in ags_oscillator_connect() local
358 AgsOscillator *oscillator; in ags_oscillator_disconnect() local
373 (gpointer) oscillator, in ags_oscillator_disconnect()
379 (gpointer) oscillator, in ags_oscillator_disconnect()
384 (gpointer) oscillator, in ags_oscillator_disconnect()
390 (gpointer) oscillator, in ags_oscillator_disconnect()
395 (gpointer) oscillator, in ags_oscillator_disconnect()
414 (gpointer) oscillator, in ags_oscillator_disconnect()
450 AgsOscillator *oscillator; in ags_oscillator_new() local
[all …]
H A Dags_oscillator_callbacks.c23 ags_oscillator_wave_callback(GtkComboBox *combo, AgsOscillator *oscillator) in ags_oscillator_wave_callback() argument
25 ags_oscillator_control_changed(oscillator); in ags_oscillator_wave_callback()
29 ags_oscillator_attack_callback(GtkSpinButton *spin_button, AgsOscillator *oscillator) in ags_oscillator_attack_callback() argument
31 ags_oscillator_control_changed(oscillator); in ags_oscillator_attack_callback()
37 ags_oscillator_control_changed(oscillator); in ags_oscillator_frame_count_callback()
43 ags_oscillator_control_changed(oscillator); in ags_oscillator_frequency_callback()
47 ags_oscillator_phase_callback(GtkSpinButton *spin_button, AgsOscillator *oscillator) in ags_oscillator_phase_callback() argument
49 ags_oscillator_control_changed(oscillator); in ags_oscillator_phase_callback()
55 ags_oscillator_control_changed(oscillator); in ags_oscillator_volume_callback()
61 ags_oscillator_control_changed(oscillator); in ags_oscillator_do_sync_callback()
[all …]
H A Dags_synth_input_line_callbacks.c28 AgsOscillator *oscillator; in ags_synth_input_line_samplerate_changed_callback() local
32 oscillator = AGS_SYNTH_INPUT_LINE(line)->oscillator; in ags_synth_input_line_samplerate_changed_callback()
34 gtk_spin_button_set_value(oscillator->attack, in ags_synth_input_line_samplerate_changed_callback()
35 samplerate * (gtk_spin_button_get_value(oscillator->attack) / old_samplerate)); in ags_synth_input_line_samplerate_changed_callback()
37 gtk_spin_button_set_value(oscillator->frame_count, in ags_synth_input_line_samplerate_changed_callback()
40 gtk_spin_button_set_value(oscillator->phase, in ags_synth_input_line_samplerate_changed_callback()
41 samplerate * (gtk_spin_button_get_value(oscillator->phase) / old_samplerate)); in ags_synth_input_line_samplerate_changed_callback()
43 for(i = 0; i < oscillator->sync_point_count; i++){ in ags_synth_input_line_samplerate_changed_callback()
44 gtk_spin_button_set_value(oscillator->sync_point[i * 2], in ags_synth_input_line_samplerate_changed_callback()
47 gtk_spin_button_set_value(oscillator->sync_point[i * 2 + 1], in ags_synth_input_line_samplerate_changed_callback()
[all …]
H A Dags_syncsynth_callbacks.c64 AgsOscillator *oscillator; in ags_syncsynth_samplerate_changed_callback() local
76 oscillator = child->data; in ags_syncsynth_samplerate_changed_callback()
78 gtk_spin_button_set_value(oscillator->attack, in ags_syncsynth_samplerate_changed_callback()
81 gtk_spin_button_set_value(oscillator->frame_count, in ags_syncsynth_samplerate_changed_callback()
84 gtk_spin_button_set_value(oscillator->phase, in ags_syncsynth_samplerate_changed_callback()
87 for(i = 0; i < oscillator->sync_point_count; i++){ in ags_syncsynth_samplerate_changed_callback()
88 gtk_spin_button_set_value(oscillator->sync_point[i * 2], in ags_syncsynth_samplerate_changed_callback()
123 AgsOscillator *oscillator; in ags_syncsynth_add_callback() local
125 oscillator = ags_oscillator_new(); in ags_syncsynth_add_callback()
128 oscillator); in ags_syncsynth_add_callback()
[all …]
H A Dags_oscillator_callbacks.h36 void ags_oscillator_wave_callback(GtkComboBox *combo, AgsOscillator *oscillator);
37 void ags_oscillator_attack_callback(GtkSpinButton *spin_button, AgsOscillator *oscillator);
38 void ags_oscillator_frame_count_callback(GtkSpinButton *spin_button, AgsOscillator *oscillator);
39 void ags_oscillator_frequency_callback(GtkSpinButton *spin_button, AgsOscillator *oscillator);
40 void ags_oscillator_phase_callback(GtkSpinButton *spin_button, AgsOscillator *oscillator);
41 void ags_oscillator_volume_callback(GtkSpinButton *spin_button, AgsOscillator *oscillator);
42 void ags_oscillator_do_sync_callback(GtkToggleButton *toggle_button, AgsOscillator *oscillator);
43 void ags_oscillator_sync_point_callback(GtkSpinButton *spin_button, AgsOscillator *oscillator);
H A Dags_synth_input_line.c130 AgsOscillator *oscillator; in ags_synth_input_line_init() local
136 oscillator = ags_oscillator_new(); in ags_synth_input_line_init()
137 synth_input_line->oscillator = oscillator; in ags_synth_input_line_init()
139 GTK_WIDGET(oscillator), in ags_synth_input_line_init()
160 ags_connectable_connect(AGS_CONNECTABLE(synth_input_line->oscillator)); in ags_synth_input_line_connect()
162 g_signal_connect_after((GObject *) synth_input_line->oscillator, "control-changed", in ags_synth_input_line_connect()
179 ags_connectable_disconnect(AGS_CONNECTABLE(synth_input_line->oscillator)); in ags_synth_input_line_disconnect()
181 g_object_disconnect((GObject *) synth_input_line->oscillator, in ags_synth_input_line_disconnect()
/dports/audio/geonkick-lv2/geonkick-2.8.0/src/
H A Dpercussion_state.cpp517 if (oscillator) in setOscillatorEnabled()
524 if (oscillator) in setOscillatorFunction()
531 if (oscillator) in setOscillatorPhase()
538 if (oscillator) in setOscillatorSeed()
545 if (oscillator) in setOscillatorAmplitue()
558 if (auto oscillator = getOscillator(index); oscillator) in setOscillatorPitchShift() local
668 if (auto oscillator = getOscillator(index); oscillator) in oscillatorAmplitue() local
675 if (auto oscillator = getOscillator(index); oscillator) in oscillatorFrequency() local
682 if (auto oscillator = getOscillator(index); oscillator) in oscillatorPitchShift() local
689 if (auto oscillator = getOscillator(index); oscillator) in isOscillatorFilterEnabled() local
[all …]
H A Doscillator_envelope.cpp29 , oscillator{osc} in OscillatorEnvelope()
33 if (oscillator->type() == Oscillator::Type::Noise)
36 setPoints(oscillator->envelopePoints(static_cast<Oscillator::EnvelopeType>(type())));
41 setPoints(oscillator->envelopePoints(static_cast<Oscillator::EnvelopeType>(type()))); in updatePoints()
46 oscillator->addEnvelopePoint(static_cast<Oscillator::EnvelopeType>(type()), x, y); in pointAddedEvent()
62 return oscillator->envelopeLength(); in envelopeLengh()
69 return oscillator->amplitude(); in envelopeAmplitude()
71 return oscillator->frequency(); in envelopeAmplitude()
73 return oscillator->pitchShift(); in envelopeAmplitude()
75 return oscillator->filterFrequency(); in envelopeAmplitude()
[all …]
H A Doscillator_group_box.cpp81 , oscillator{osc}
168 if (oscillator->type() != Oscillator::Type::Noise)
274 oscillator, in createEvelopeGroupBox()
346 oscillator, in createEvelopeGroupBox()
358 oscillator, in createEvelopeGroupBox()
433 oscillator, enableFilter(b)); in createFilterGroupBox()
437 oscillator, setFilterQFactor(val)); in createFilterGroupBox()
439 oscillator, setFilterType(type)); in createFilterGroupBox()
509 oscillator->setSeed(10 * value); in setOscillatorSeed()
532 oscillator->enable(state); in groupBoxLabelUpdated()
[all …]
/dports/math/vtk9/VTK-9.1.0/ThirdParty/vtkm/vtkvtkm/vtk-m/vtkm/worklet/
H A DOscillatorSource.h116 const internal::Oscillator* oscillator; in operator() local
124 oscillator = &this->DampedOscillators[oIdx]; in operator()
128 vtkm::Float64 dist_damp = vtkm::Exp(-dist2 / (2 * oscillator->Radius * oscillator->Radius)); in operator()
131 vtkm::Exp(-oscillator->Zeta * oscillator->Omega * t0) * in operator()
132 (vtkm::Sin(vtkm::Sqrt(1 - oscillator->Zeta * oscillator->Zeta) * oscillator->Omega * t + in operator()
141 oscillator = &this->DecayingOscillators[oIdx]; in operator()
142 t = t0 + 1 / oscillator->Omega; in operator()
145 vtkm::Float64 dist_damp = vtkm::Exp(-dist2 / (2 * oscillator->Radius * oscillator->Radius)); in operator()
146 vtkm::Float64 val = vtkm::Sin(t / oscillator->Omega) / (oscillator->Omega * t); in operator()
154 t = t0 + 1 / oscillator->Omega; in operator()
[all …]
/dports/math/vtk8/VTK-8.2.0/ThirdParty/vtkm/vtk-m/vtkm/worklet/
H A DOscillatorSource.h126 const internal::Oscillator* oscillator; in operator() local
134 oscillator = &this->DampedOscillators[oIdx]; in operator()
138 vtkm::Float64 dist_damp = vtkm::Exp(-dist2 / (2 * oscillator->Radius * oscillator->Radius)); in operator()
141 vtkm::Exp(-oscillator->Zeta * oscillator->Omega * t0) * in operator()
142 (vtkm::Sin(vtkm::Sqrt(1 - oscillator->Zeta * oscillator->Zeta) * oscillator->Omega * t + in operator()
151 oscillator = &this->DecayingOscillators[oIdx]; in operator()
152 t = t0 + 1 / oscillator->Omega; in operator()
155 vtkm::Float64 dist_damp = vtkm::Exp(-dist2 / (2 * oscillator->Radius * oscillator->Radius)); in operator()
156 vtkm::Float64 val = vtkm::Sin(t / oscillator->Omega) / (oscillator->Omega * t); in operator()
164 t = t0 + 1 / oscillator->Omega; in operator()
[all …]
/dports/science/simbody/simbody-Simbody-3.7/Simbody/tests/
H A DTestNoseHooverThermostat.cpp54 : PeriodicEventReporter(reportInterval), oscillator(oscillator), in OscillatorReporter()
78 Real position = oscillator.getPosition(state); in handleEvent()
81 Real velocity = oscillator.getVelocity(state); in handleEvent()
92 HarmonicOscillator& oscillator; member in HarmonicOscillator::OscillatorReporter
232 HarmonicOscillator oscillator; in testHarmonicOscillatorNoThermostat() local
233 oscillator.simulate(); in testHarmonicOscillatorNoThermostat()
238 HarmonicOscillator oscillator; in testNoseHooverConstructorSmoke() local
242 oscillator.simulate(); in testNoseHooverConstructorSmoke()
247 HarmonicOscillator oscillator; in testOscillatorTemperature() local
253 oscillator.simulate(); in testOscillatorTemperature()
[all …]
/dports/devel/boost-docs/boost_1_72_0/libs/numeric/odeint/test/
H A Dstepper_with_units.cpp92 stepper.do_step( oscillator , x , t , dt ); in check_stepper()
95 stepper.do_step( oscillator , x , t , x , dt ); in check_stepper()
99 oscillator( x , dxdt , t ); in check_stepper()
103 oscillator( x , dxdt , t ); in check_stepper()
124 stepper.do_step( oscillator , x , t , dt ); in check_fsal_stepper()
127 stepper.do_step( oscillator , x , t , x , dt ); in check_fsal_stepper()
131 oscillator( x , dxdt , t ); in check_fsal_stepper()
162 oscillator( x , dxdt , t ); in check_error_stepper()
193 oscillator( x , dxdt , t ); in check_fsal_error_stepper()
214 stepper.try_step( oscillator , x , t , dt ); in check_controlled_stepper()
[all …]
/dports/devel/boost-python-libs/boost_1_72_0/libs/numeric/odeint/test/
H A Dstepper_with_units.cpp92 stepper.do_step( oscillator , x , t , dt ); in check_stepper()
95 stepper.do_step( oscillator , x , t , x , dt ); in check_stepper()
99 oscillator( x , dxdt , t ); in check_stepper()
103 oscillator( x , dxdt , t ); in check_stepper()
124 stepper.do_step( oscillator , x , t , dt ); in check_fsal_stepper()
127 stepper.do_step( oscillator , x , t , x , dt ); in check_fsal_stepper()
131 oscillator( x , dxdt , t ); in check_fsal_stepper()
162 oscillator( x , dxdt , t ); in check_error_stepper()
193 oscillator( x , dxdt , t ); in check_fsal_error_stepper()
214 stepper.try_step( oscillator , x , t , dt ); in check_controlled_stepper()
[all …]
/dports/databases/percona57-pam-for-mysql/boost_1_59_0/libs/numeric/odeint/test/
H A Dstepper_with_units.cpp92 stepper.do_step( oscillator , x , t , dt ); in check_stepper()
95 stepper.do_step( oscillator , x , t , x , dt ); in check_stepper()
99 oscillator( x , dxdt , t ); in check_stepper()
103 oscillator( x , dxdt , t ); in check_stepper()
124 stepper.do_step( oscillator , x , t , dt ); in check_fsal_stepper()
127 stepper.do_step( oscillator , x , t , x , dt ); in check_fsal_stepper()
131 oscillator( x , dxdt , t ); in check_fsal_stepper()
162 oscillator( x , dxdt , t ); in check_error_stepper()
193 oscillator( x , dxdt , t ); in check_fsal_error_stepper()
214 stepper.try_step( oscillator , x , t , dt ); in check_controlled_stepper()
[all …]
/dports/math/stanmath/math-4.2.0/lib/boost_1.75.0/libs/numeric/odeint/test/
H A Dstepper_with_units.cpp92 stepper.do_step( oscillator , x , t , dt ); in check_stepper()
95 stepper.do_step( oscillator , x , t , x , dt ); in check_stepper()
99 oscillator( x , dxdt , t ); in check_stepper()
103 oscillator( x , dxdt , t ); in check_stepper()
124 stepper.do_step( oscillator , x , t , dt ); in check_fsal_stepper()
127 stepper.do_step( oscillator , x , t , x , dt ); in check_fsal_stepper()
131 oscillator( x , dxdt , t ); in check_fsal_stepper()
162 oscillator( x , dxdt , t ); in check_error_stepper()
193 oscillator( x , dxdt , t ); in check_fsal_error_stepper()
214 stepper.try_step( oscillator , x , t , dt ); in check_controlled_stepper()
[all …]
/dports/databases/mysqlwsrep57-server/boost_1_59_0/libs/numeric/odeint/test/
H A Dstepper_with_units.cpp92 stepper.do_step( oscillator , x , t , dt ); in check_stepper()
95 stepper.do_step( oscillator , x , t , x , dt ); in check_stepper()
99 oscillator( x , dxdt , t ); in check_stepper()
103 oscillator( x , dxdt , t ); in check_stepper()
124 stepper.do_step( oscillator , x , t , dt ); in check_fsal_stepper()
127 stepper.do_step( oscillator , x , t , x , dt ); in check_fsal_stepper()
131 oscillator( x , dxdt , t ); in check_fsal_stepper()
162 oscillator( x , dxdt , t ); in check_error_stepper()
193 oscillator( x , dxdt , t ); in check_fsal_error_stepper()
214 stepper.try_step( oscillator , x , t , dt ); in check_controlled_stepper()
[all …]
/dports/databases/percona57-server/boost_1_59_0/libs/numeric/odeint/test/
H A Dstepper_with_units.cpp92 stepper.do_step( oscillator , x , t , dt ); in check_stepper()
95 stepper.do_step( oscillator , x , t , x , dt ); in check_stepper()
99 oscillator( x , dxdt , t ); in check_stepper()
103 oscillator( x , dxdt , t ); in check_stepper()
124 stepper.do_step( oscillator , x , t , dt ); in check_fsal_stepper()
127 stepper.do_step( oscillator , x , t , x , dt ); in check_fsal_stepper()
131 oscillator( x , dxdt , t ); in check_fsal_stepper()
162 oscillator( x , dxdt , t ); in check_error_stepper()
193 oscillator( x , dxdt , t ); in check_fsal_error_stepper()
214 stepper.try_step( oscillator , x , t , dt ); in check_controlled_stepper()
[all …]
/dports/databases/xtrabackup/boost_1_59_0/libs/numeric/odeint/test/
H A Dstepper_with_units.cpp92 stepper.do_step( oscillator , x , t , dt ); in check_stepper()
95 stepper.do_step( oscillator , x , t , x , dt ); in check_stepper()
99 oscillator( x , dxdt , t ); in check_stepper()
103 oscillator( x , dxdt , t ); in check_stepper()
124 stepper.do_step( oscillator , x , t , dt ); in check_fsal_stepper()
127 stepper.do_step( oscillator , x , t , x , dt ); in check_fsal_stepper()
131 oscillator( x , dxdt , t ); in check_fsal_stepper()
162 oscillator( x , dxdt , t ); in check_error_stepper()
193 oscillator( x , dxdt , t ); in check_fsal_error_stepper()
214 stepper.try_step( oscillator , x , t , dt ); in check_controlled_stepper()
[all …]
/dports/databases/percona57-client/boost_1_59_0/libs/numeric/odeint/test/
H A Dstepper_with_units.cpp92 stepper.do_step( oscillator , x , t , dt ); in check_stepper()
95 stepper.do_step( oscillator , x , t , x , dt ); in check_stepper()
99 oscillator( x , dxdt , t ); in check_stepper()
103 oscillator( x , dxdt , t ); in check_stepper()
124 stepper.do_step( oscillator , x , t , dt ); in check_fsal_stepper()
127 stepper.do_step( oscillator , x , t , x , dt ); in check_fsal_stepper()
131 oscillator( x , dxdt , t ); in check_fsal_stepper()
162 oscillator( x , dxdt , t ); in check_error_stepper()
193 oscillator( x , dxdt , t ); in check_fsal_error_stepper()
214 stepper.try_step( oscillator , x , t , dt ); in check_controlled_stepper()
[all …]
/dports/devel/boost-libs/boost_1_72_0/libs/numeric/odeint/test/
H A Dstepper_with_units.cpp92 stepper.do_step( oscillator , x , t , dt ); in check_stepper()
95 stepper.do_step( oscillator , x , t , x , dt ); in check_stepper()
99 oscillator( x , dxdt , t ); in check_stepper()
103 oscillator( x , dxdt , t ); in check_stepper()
124 stepper.do_step( oscillator , x , t , dt ); in check_fsal_stepper()
127 stepper.do_step( oscillator , x , t , x , dt ); in check_fsal_stepper()
131 oscillator( x , dxdt , t ); in check_fsal_stepper()
162 oscillator( x , dxdt , t ); in check_error_stepper()
193 oscillator( x , dxdt , t ); in check_fsal_error_stepper()
214 stepper.try_step( oscillator , x , t , dt ); in check_controlled_stepper()
[all …]
/dports/devel/hyperscan/boost_1_75_0/libs/numeric/odeint/test/
H A Dstepper_with_units.cpp92 stepper.do_step( oscillator , x , t , dt ); in check_stepper()
95 stepper.do_step( oscillator , x , t , x , dt ); in check_stepper()
99 oscillator( x , dxdt , t ); in check_stepper()
103 oscillator( x , dxdt , t ); in check_stepper()
124 stepper.do_step( oscillator , x , t , dt ); in check_fsal_stepper()
127 stepper.do_step( oscillator , x , t , x , dt ); in check_fsal_stepper()
131 oscillator( x , dxdt , t ); in check_fsal_stepper()
162 oscillator( x , dxdt , t ); in check_error_stepper()
193 oscillator( x , dxdt , t ); in check_fsal_error_stepper()
214 stepper.try_step( oscillator , x , t , dt ); in check_controlled_stepper()
[all …]
/dports/devel/gputils/gputils-1.5.0/header/
H A Dp16f505.inc153 _OSC_LP EQU H'0FF8' ; LP oscillator
154 _LP_OSC EQU H'0FF8' ; LP oscillator
155 _OSC_XT EQU H'0FF9' ; XT oscillator
156 _XT_OSC EQU H'0FF9' ; XT oscillator
157 _OSC_HS EQU H'0FFA' ; HS oscillator
158 _HS_OSC EQU H'0FFA' ; HS oscillator
159 _OSC_EC EQU H'0FFB' ; EC oscillator/RB4 function on RB4/OSC2/CLKOUT pin
160 _EC_RB4EN EQU H'0FFB' ; EC oscillator/RB4 function on RB4/OSC2/CLKOUT pin
161 _OSC_IntRC_RB4EN EQU H'0FFC' ; Internal RC oscillator/RB4 function on RB4/OSC2/CLKOUT pin
162 _IntRC_OSC_RB4EN EQU H'0FFC' ; Internal RC oscillator/RB4 function on RB4/OSC2/CLKOUT pin
[all …]
/dports/comms/liquid-dsp/liquid-dsp-1.3.2/src/modem/src/
H A Dfskmod.c46 nco_crcf oscillator; // nco member
81 q->oscillator = nco_crcf_create(LIQUID_VCO); in fskmod_create()
94 nco_crcf_destroy(_q->oscillator); in fskmod_destroy()
113 nco_crcf_reset(_q->oscillator); in fskmod_reset()
135 nco_crcf_set_frequency(_q->oscillator, dphi); in fskmod_modulate()
141 nco_crcf_cexpf(_q->oscillator, &_y[i]); in fskmod_modulate()
144 nco_crcf_step(_q->oscillator); in fskmod_modulate()
/dports/audio/stegosaurus-lv2/stegosaurus-543a95d/
H A Dwavetable.h24 } oscillator;
29 float wavetable_tick(oscillator*);
30 void wavetable_set_oscillator_frequency(oscillator*,float);
31 void wavetable_set_oscillator_wave_number(oscillator*,int,int);
34 void wavetable_set_oscillator_wave_mix(oscillator*,float);

12345678910>>...104