Home
last modified time | relevance | path

Searched refs:oscillators (Results 1 – 25 of 541) sorted by relevance

12345678910>>...22

/dports/emulators/mess/mame-mame0226/src/devices/sound/
H A Des5503.cpp256 for (auto & elem : oscillators) in device_reset()
297 return oscillators[osc].vol; in read()
300 return oscillators[osc].data; in read()
306 return oscillators[osc].control; in read()
332 if (oscillators[i].irqpend) in read()
340 oscillators[i].irqpend = 0; in read()
348 if (oscillators[i].irqpend) in read()
379 oscillators[osc].freq &= 0xff00; in write()
380 oscillators[osc].freq |= data; in write()
389 oscillators[osc].vol = data; in write()
[all …]
/dports/emulators/mame/mame-mame0226/src/devices/sound/
H A Des5503.cpp256 for (auto & elem : oscillators) in device_reset()
297 return oscillators[osc].vol; in read()
300 return oscillators[osc].data; in read()
306 return oscillators[osc].control; in read()
332 if (oscillators[i].irqpend) in read()
340 oscillators[i].irqpend = 0; in read()
348 if (oscillators[i].irqpend) in read()
379 oscillators[osc].freq &= 0xff00; in write()
380 oscillators[osc].freq |= data; in write()
389 oscillators[osc].vol = data; in write()
[all …]
/dports/audio/deadbeef/deadbeef-0.7.2/plugins/gme/game-music-emu-0.6pre/vgmplay/VGMPlay/chips/
H A Des5503.c65 ES5503Osc oscillators[32]; member
117 ES5503Osc *pOsc = &chip->oscillators[onum]; in es5503_halt_osc()
316 chip->oscillators[osc].Muted = 0x00; in device_start_es5503()
340 tempOsc = &chip->oscillators[osc]; in device_reset_es5503()
389 return chip->oscillators[osc].vol; in es5503_r()
392 return chip->oscillators[osc].data; in es5503_r()
424 if (chip->oscillators[i].irqpend) in es5503_r()
432 chip->oscillators[i].irqpend = 0; in es5503_r()
488 chip->oscillators[osc].freq |= data; in es5503_w()
497 chip->oscillators[osc].vol = data; in es5503_w()
[all …]
/dports/audio/vgmplay/vgmplay-0.40.9_2/chips/
H A Des5503.c65 ES5503Osc oscillators[32]; member
120 ES5503Osc *pOsc = &chip->oscillators[onum]; in es5503_halt_osc()
322 chip->oscillators[osc].Muted = 0x00; in device_start_es5503()
344 tempOsc = &chip->oscillators[osc]; in device_reset_es5503()
393 return chip->oscillators[osc].vol; in es5503_r()
396 return chip->oscillators[osc].data; in es5503_r()
428 if (chip->oscillators[i].irqpend) in es5503_r()
436 chip->oscillators[i].irqpend = 0; in es5503_r()
492 chip->oscillators[osc].freq |= data; in es5503_w()
501 chip->oscillators[osc].vol = data; in es5503_w()
[all …]
/dports/science/qiskit-aer/qiskit-aer-0.5.2/qiskit/providers/aer/pulse/
H A Dduffing_model_generators.py137 oscillators = list(range(num_oscillators))
139 freq_symbols = _str_list_generator(freq_symbol + '{0}', oscillators)
140 anharm_symbols = _str_list_generator(anharm_symbol + '{0}', oscillators)
141 drive_symbols = _str_list_generator(drive_symbol + '{0}', oscillators)
150 hamiltonian_dict = _duffing_hamiltonian_dict(oscillators=oscillators,
172 subsystem_list=oscillators,
179 def _duffing_hamiltonian_dict(oscillators, argument
225 hamiltonian_str = _single_duffing_drift_terms(freq_symbols, anharm_symbols, oscillators)
226 hamiltonian_str += _drive_terms(drive_symbols, oscillators)
241 for idx in oscillators:
[all …]
/dports/audio/faustlive/faustlive-2.5.7/Resources/Libs/doc/docs/
H A DstandardFunctions.md145 [Impulse](../libs/oscillators/#osimpulse) | [`os.`](../libs/oscillators)[`impulse`](../libs/oscilla…
146 [Impulse Train](../libs/oscillators/#osimptrain) | [`os.`](../libs/oscillators)[`imptrain`](../libs…
147 [Phasor](../libs/oscillators/#osphasor) | [`os.`](../libs/oscillators)[`phasor`](../libs/oscillator…
149 [Pulse Train](../libs/oscillators/#ospulsetrain) | [`os.`](../libs/oscillators)[`pulsetrain`](../li…
151 [Sawtooth](../libs/oscillators/#ossawtooth) | [`os.`](../libs/oscillators)[`sawtooth`](../libs/osci…
152 [Sawtooth (Low Frequency)](../libs/oscillators/#oslf_saw) | [`os.`](../libs/oscillators)[`lf_saw`](…
153 [Sine (Filter-Based)](../libs/oscillators/#ososcs) | [`os.`](../libs/oscillators)[`oscs`](../libs/o…
154 [Sine (Table-Based)](../libs/oscillators/#ososc) | [`os.`](../libs/oscillators)[`osc`](../libs/osci…
155 [Square](../libs/oscillators/#ossquare) | [`os.`](../libs/oscillators)[`square`](../libs/oscillator…
157 [Triangle](../libs/oscillators/#ostriangle) | [`os.`](../libs/oscillators)[`triangle`](../libs/osci…
[all …]
H A Dindex.md37 * `os`: `oscillators.lib`
61 In this case, we're calling the `osc` function from `oscillators.lib`.
73 os = library("oscillators.lib");
80 import("oscillators.lib");
/dports/audio/faust/faust-2.37.3/libraries/doc/docs/
H A DstandardFunctions.md145 [Impulse](../libs/oscillators/#osimpulse) | [`os.`](../libs/oscillators)[`impulse`](../libs/oscilla…
146 [Impulse Train](../libs/oscillators/#osimptrain) | [`os.`](../libs/oscillators)[`imptrain`](../libs…
147 [Phasor](../libs/oscillators/#osphasor) | [`os.`](../libs/oscillators)[`phasor`](../libs/oscillator…
149 [Pulse Train](../libs/oscillators/#ospulsetrain) | [`os.`](../libs/oscillators)[`pulsetrain`](../li…
151 [Sawtooth](../libs/oscillators/#ossawtooth) | [`os.`](../libs/oscillators)[`sawtooth`](../libs/osci…
152 [Sawtooth (Low Frequency)](../libs/oscillators/#oslf_saw) | [`os.`](../libs/oscillators)[`lf_saw`](…
153 [Sine (Filter-Based)](../libs/oscillators/#ososcs) | [`os.`](../libs/oscillators)[`oscs`](../libs/o…
154 [Sine (Table-Based)](../libs/oscillators/#ososc) | [`os.`](../libs/oscillators)[`osc`](../libs/osci…
155 [Square](../libs/oscillators/#ossquare) | [`os.`](../libs/oscillators)[`square`](../libs/oscillator…
157 [Triangle](../libs/oscillators/#ostriangle) | [`os.`](../libs/oscillators)[`triangle`](../libs/osci…
[all …]
H A Dindex.md37 * `os`: `oscillators.lib`
61 In this case, we're calling the `osc` function from `oscillators.lib`.
73 os = library("oscillators.lib");
80 import("oscillators.lib");
/dports/devel/juce/JUCE-f37e9a1/examples/DSP/
H A DOscillatorDemo.h63 for (auto&& oscillator : oscillators) in prepare()
79 oscillators[currentOscillatorIdx].process (context); in process()
89 oscillators[currentOscillatorIdx].reset(); in reset()
94 currentOscillatorIdx = jmin (numElementsInArray (oscillators), in updateParameters()
99 for (auto&& oscillator : oscillators) in updateParameters()
108 Oscillator<float> oscillators[6] = member
/dports/x11/xscreensaver/xscreensaver-5.44/hacks/glx/
H A Dcubetwist.c58 oscillator *oscillators; member
240 oscillator *a = bp->oscillators; in tick_oscillators()
259 bp->oscillators = next; in tick_oscillators()
297 a->next = bp->oscillators; in add_oscillator()
298 bp->oscillators = a; in add_oscillator()
398 while (bp->oscillators) in cube_handle_event()
402 bp->oscillators = o; in cube_handle_event()
558 if (! bp->oscillators && in draw_cube()
586 while (bp->oscillators) in free_cube()
589 free (bp->oscillators); in free_cube()
[all …]
H A Draverhoop.c82 oscillator *oscillators; member
340 oscillator *a = bp->oscillators; in tick_oscillators()
359 bp->oscillators = next; in tick_oscillators()
381 for (a = bp->oscillators; a && a->next; a = a->next) in calm_oscillators()
395 for (a = bp->oscillators; a && a->next; a = a->next) in add_oscillator()
407 a->next = bp->oscillators; in add_oscillator()
408 bp->oscillators = a; in add_oscillator()
781 while (bp->oscillators) { in free_hoop()
782 oscillator *n = bp->oscillators->next; in free_hoop()
783 free (bp->oscillators); in free_hoop()
[all …]
/dports/audio/geonkick-lv2/geonkick-2.8.0/src/
H A Dcontrols_widget.cpp33 const std::vector<std::unique_ptr<Oscillator>> &oscillators) in ControlsWidget() argument
37 auto oscillator = oscillators[static_cast<int>(Oscillator::Type::Oscillator1)].get();
43 oscillator = oscillators[static_cast<int>(Oscillator::Type::Oscillator2)].get();
49 oscillator = oscillators[static_cast<int>(Oscillator::Type::Noise)].get();
H A Dcontrol_area.cpp34 const std::vector<std::unique_ptr<Oscillator>> &oscillators) in ControlArea() argument
37 , oscillators{oscillators}
75 auto controlsWidget = new ControlsWidget(this, kitModel->api(), oscillators); in showControls()
H A Dcontrol_area.h46 const std::vector<std::unique_ptr<Oscillator>> &oscillators);
60 const std::vector<std::unique_ptr<Oscillator>> &oscillators; variable
H A Denvelope_widget.cpp44 const std::vector<std::unique_ptr<Oscillator>> &oscillators) in EnvelopeWidget() argument
59 auto oscillator = oscillators[static_cast<int>(Oscillator::Type::Oscillator1)].get();
65 oscillator = oscillators[static_cast<int>(Oscillator::Type::Oscillator2)].get();
71 oscillator = oscillators[static_cast<int>(Oscillator::Type::Noise)].get();
/dports/science/py-gpaw/gpaw-21.6.0/gpaw/fdtd/
H A Dpolarizable_material.py107 …f.alpha [j] = np.logical_not(mask) * self.alpha[j] + mask * permittivity.oscillators[j].alpha
108 …lf.beta [j] = np.logical_not(mask) * self.beta[j] + mask * permittivity.oscillators[j].beta
121 self.messages.append("%12.6f %12.6f %12.6f" % (permittivity.oscillators[j].bar_omega,
122 permittivity.oscillators[j].alpha,
123 permittivity.oscillators[j].beta))
511 self.oscillators = []
533 self.oscillators.append(LorentzOscillator(bar_omega, alpha, beta))
539 return self.eps_infty + sum([osc.value(omega) for osc in self.oscillators])
542 return [[osc.bar_omega, osc.alpha, osc.beta] for osc in self.oscillators]
558 self.oscillators.append(LorentzOscillator(_newbar_omega, _new_alpha, _new_beta))
[all …]
/dports/audio/guitarix-lv2/guitarix-0.43.1/src/LV2/faust/
H A Dswitched_tremolo.dsp12 //steps - number of oscillators in bank
13 //sw_freq - oscillators switching freq
14 //freq0..4 - oscillators freqs
/dports/audio/fomp-lv2/fomp-1.2.2/
H A DREADME.md7 filters, 3 oscillators, and 2 reverbs.
10 primary frequency port of oscillators and filters has been converted to Hz to
19 The oscillators and filters are mainly useful in modular synthesizers, but
/dports/games/golly/golly-3.3-src/Patterns/Life/Oscillators/
H A Dlow-period.rle1 #C Low-period oscillators.
2 #C Low-period oscillators and high-period oscillators can most easily
6 #C topologies can often discover oscillators in the range 2-16;
7 #C oscillators of higher period are usually found by engineering
12 #C of a rift of known oscillators between periods 16-30, with only a
/dports/math/mcsim/mcsim-6.2.0/examples/oscillators/
H A Doscillators.in2 # oscillators.in
11 OutputFile ("oscillators.out");
/dports/audio/supercollider/SuperCollider-3.11.0-Source/HelpSource/Classes/
H A DSinOsc.schelp14 subsection:: Other sinewaves oscillators
20 ## link::Classes/Klang:: – bank of sinewave oscillators
21 ## link::Classes/DynKlang:: – modulable bank of sinewave oscillators
/dports/java/openjdk11/jdk11u-jdk-11.0.13-8-1/src/java.desktop/share/classes/com/sun/media/sound/
H A DModelPerformer.java39 private final List<ModelOscillator> oscillators = new ArrayList<>(); field in ModelPerformer
70 return oscillators; in getOscillators()
/dports/java/openjdk13/jdk13u-jdk-13.0.10-1-1/src/java.desktop/share/classes/com/sun/media/sound/
H A DModelPerformer.java39 private final List<ModelOscillator> oscillators = new ArrayList<>(); field in ModelPerformer
70 return oscillators; in getOscillators()
/dports/java/openjdk11-jre/jdk11u-jdk-11.0.13-8-1/src/java.desktop/share/classes/com/sun/media/sound/
H A DModelPerformer.java39 private final List<ModelOscillator> oscillators = new ArrayList<>(); field in ModelPerformer
70 return oscillators; in getOscillators()

12345678910>>...22