Home
last modified time | relevance | path

Searched refs:out_red (Results 1 – 14 of 14) sorted by relevance

/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/issue1307/
H A Dtest.v3 …c, in_vsync, in_red, in_green, in_blue, out_blank, out_hsync, out_vsync, out_red, out_green, out_b…
24 output [7:0] out_red; port
42 .out_red(\i_line_delay_2%129 ),
48 assign out_red = 8'hzz;
92 …c, in_vsync, in_red, in_green, in_blue, out_blank, out_hsync, out_vsync, out_red, out_green, out_b…
110 output [7:0] out_red; port
641 assign out_red = 8'hzz;
646 …nnel, audio_de, audio_sample, switches, out_blank, out_hsync, out_vsync, out_red, out_green, out_b…
663 output [7:0] out_red; port
669 assign out_red = 8'hzz;
H A Dpixel_processing.vhd24 out_red : out std_logic_vector(7 downto 0); port
61 out_red : out std_logic_vector(7 downto 0); port in pixel_processing.Behavioral.edge_enhance
88 out_red : out std_logic_vector(7 downto 0); port in pixel_processing.Behavioral.guidelines
125 out_red => b_red,
H A Dhdmi_design.vhd99 out_red : in std_logic_vector(7 downto 0); port in hdmi_design.Behavioral.hdmi_io
137 out_red : out std_logic_vector(7 downto 0); port in hdmi_design.Behavioral.pixel_processing
173 signal out_red : std_logic_vector(7 downto 0); signal
206 out_red => out_red,
H A Dedge_enhance.vhd23 out_red : out std_logic_vector(7 downto 0); port
47 out_red : out std_logic_vector(7 downto 0); port in edge_enhance.Behavioral.line_delay
89 out_red => reds(6),
H A Dline_delay.vhd23 out_red : out std_logic_vector(7 downto 0); port
/dports/graphics/netpbm/netpbm-10.91.01/test/
H A Dgif-roundtrip.test20 out_red=${tmpdir}/out.red
24 pamtogif ${test_red} | giftopnm > ${out_red} &&
27 rgb3toppm ${out_red} ${out_grn} - | \
30 rm ${test_ppm} ${test_grn} ${test_blu} ${out_red} ${out_grn}
/dports/security/py-muacrypt/muacrypt-0.9.1/muacrypt/
H A Dcmdline_utils.py9 def out_red(msg):
45 out_red(str(exc))
H A Dcmdline.py19 out_red, log_info, mycommand,
56 out_red("specify --yes if you really want to destroy all state")
61 out_red("deleting directory: {}".format(basedir))
420 out_red("sendmail return {!r} exitcode, path: {}".format(
491 out_red("no accounts configured")
/dports/www/qt5-webengine/qtwebengine-everywhere-src-5.15.2/src/3rdparty/chromium/cc/paint/
H A Dsolid_color_analyzer.cc39 const float out_red = in DoSrcOverAlphaBlend() local
47 static_cast<U8CPU>(std::floor(out_red)), in DoSrcOverAlphaBlend()
/dports/www/chromium-legacy/chromium-88.0.4324.182/cc/paint/
H A Dsolid_color_analyzer.cc39 const float out_red = in DoSrcOverAlphaBlend() local
47 static_cast<U8CPU>(std::floor(out_red)), in DoSrcOverAlphaBlend()
/dports/www/chromium-legacy/chromium-88.0.4324.182/components/viz/service/frame_sinks/video_capture/
H A Dvideo_capture_overlay.cc377 float out_red = std::fma(From255(dst_pixel[col] >> 16), dst_weight, in Blit() local
384 out_red /= out_alpha; in Blit()
389 ((ToClamped255(out_alpha) << 24) | (ToClamped255(out_red) << 16) | in Blit()
/dports/www/qt5-webengine/qtwebengine-everywhere-src-5.15.2/src/3rdparty/chromium/components/viz/service/frame_sinks/video_capture/
H A Dvideo_capture_overlay.cc377 float out_red = std::fma(From255(dst_pixel[col] >> 16), dst_weight, in Blit() local
384 out_red /= out_alpha; in Blit()
389 ((ToClamped255(out_alpha) << 24) | (ToClamped255(out_red) << 16) | in Blit()
/dports/games/nazghul/nazghul-0.7.1/src/
H A Dsprite.c684 Uint8 in_red, in_grn, in_blu, in_alpha, out_red, out_grn, out_blu, in sprite_apply_matrix_to_image() local
762 out_red = clamp(ired, 0, in sprite_apply_matrix_to_image()
774 out_pix = (out_red << dest->format->Rshift in sprite_apply_matrix_to_image()
/dports/www/chromium-legacy/chromium-88.0.4324.182/third_party/angle/third_party/VK-GL-CTS/src/external/openglcts/modules/gl/
H A Dgl4cCopyImageTests.cpp130 …npackPixel(glw::GLenum format, glw::GLenum type, const glw::GLubyte* pixel, glw::GLdouble& out_red,
2393 void Utils::unpackPixel(GLenum format, GLenum type, const GLubyte* pixel, GLdouble& out_red, GLdoub… in unpackPixel() argument
2400 readChannel(type, 0, pixel, out_red); in unpackPixel()
2407 readChannel(type, 0, pixel, out_red); in unpackPixel()
2417 readChannel(type, 0, pixel, out_red); in unpackPixel()
2423 readChannel(type, 0, pixel, out_red); in unpackPixel()
2432 readChannel(type, 0, pixel, out_red); in unpackPixel()