Home
last modified time | relevance | path

Searched refs:ram0 (Results 1 – 25 of 2613) sorted by relevance

12345678910>>...105

/dports/multimedia/emovix/emovix-0.9.0/src/isolinux/
H A Disolinux.cfg15 …ent vga=0x314 initrd=initrd.gz load_ramdisk=1 prompt_ramdisk=0 ramdisk_size=14342 rw root=/dev/ram0
18 …ent vga=0x314 initrd=initrd.gz load_ramdisk=1 prompt_ramdisk=0 ramdisk_size=14342 rw root=/dev/ram0
21 …splash=silent initrd=initrd.gz load_ramdisk=1 prompt_ramdisk=0 ramdisk_size=14342 rw root=/dev/ram0
24 …splash=silent initrd=initrd.gz load_ramdisk=1 prompt_ramdisk=0 ramdisk_size=14342 rw root=/dev/ram0
27 …ent vga=0x314 initrd=initrd.gz load_ramdisk=1 prompt_ramdisk=0 ramdisk_size=14342 rw root=/dev/ram0
30 …ent vga=0x314 initrd=initrd.gz load_ramdisk=1 prompt_ramdisk=0 ramdisk_size=14342 rw root=/dev/ram0
33 …splash=silent initrd=initrd.gz load_ramdisk=1 prompt_ramdisk=0 ramdisk_size=14342 rw root=/dev/ram0
36 …splash=silent initrd=initrd.gz load_ramdisk=1 prompt_ramdisk=0 ramdisk_size=14342 rw root=/dev/ram0
39 …splash=silent initrd=initrd.gz load_ramdisk=1 prompt_ramdisk=0 ramdisk_size=14342 rw root=/dev/ram0
66 …splash=silent initrd=initrd.gz load_ramdisk=1 prompt_ramdisk=0 ramdisk_size=14342 rw root=/dev/ram0
[all …]
/dports/emulators/gxemul/gxemul-0.6.3/src/components/busses/
H A DMainbusComponent.cc348 refcount_ptr<Component> ram0 = in Test_MainbusComponent_Simple() local
351 mainbus->AddChild(ram0); in Test_MainbusComponent_Simple()
353 ram0->SetVariableValue("memoryMappedBase", "0"); in Test_MainbusComponent_Simple()
375 refcount_ptr<Component> ram0 = in Test_MainbusComponent_Remapping() local
378 mainbus->AddChild(ram0); in Test_MainbusComponent_Remapping()
414 refcount_ptr<Component> ram0 = in Test_MainbusComponent_Multiple_NonOverlapping() local
421 mainbus->AddChild(ram0); in Test_MainbusComponent_Multiple_NonOverlapping()
452 refcount_ptr<Component> ram0 = in Test_MainbusComponent_Simple_With_AddrMul() local
455 mainbus->AddChild(ram0); in Test_MainbusComponent_Simple_With_AddrMul()
457 ram0->SetVariableValue("memoryMappedBase", "0x80"); in Test_MainbusComponent_Simple_With_AddrMul()
[all …]
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/control_lib/
H A Ddpram32.v43 reg [7:0] ram0 [0:(RAM_SIZE/4)-1]; register
59 if(en1_i) dat1_o[7:0] <= ram0[adr1_i[AWIDTH-1:2]];
72 ram0[adr1_i[AWIDTH-1:2]] <= dat1_i[7:0];
82 if(en2_i) dat2_o[7:0] <= ram0[adr2_i[AWIDTH-1:2]];
95 ram0[adr2_i[AWIDTH-1:2]] <= dat2_i[7:0];
H A Dram_wb_harvard.v45 reg [7:0] ram0 [0:(1<<(AWIDTH-2))-1]; register
61 iwb_dat_o[7:0] <= ram0[iwb_adr_i[AWIDTH-1:2]];
74 ram0[iwb_adr_i[AWIDTH-1:2]] <= iwb_dat_i[7:0];
87 dwb_dat_o[7:0] <= ram0[dwb_adr_i[AWIDTH-1:2]];
100 ram0[dwb_adr_i[AWIDTH-1:2]] <= dwb_dat_i[7:0];
H A Dram_harvard2.v56 reg [7:0] ram0 [0:(RAM_SIZE/4)-1]; register
69 if_data[7:0] <= ram0[if_adr[AWIDTH-1:2]];
79 if(dwb_stb_i) dwb_dat_o[7:0] <= ram0[dwb_adr_i[AWIDTH-1:2]];
92 ram0[dwb_adr_i[AWIDTH-1:2]] <= dwb_dat_i[7:0];
/dports/lang/php80/php-8.0.15/ext/standard/tests/file/
H A Dfiletype_variation3.phpt10 if (!file_exists("/dev/ram0")) {
11 die('skip /dev/ram0 not available');
17 print( filetype("/dev/ram0") )."\n";
/dports/lang/php81/php-8.1.1/ext/standard/tests/file/
H A Dfiletype_variation3.phpt10 if (!file_exists("/dev/ram0")) {
11 die('skip /dev/ram0 not available');
17 print( filetype("/dev/ram0") )."\n";
/dports/www/mod_php81/php-8.1.1/ext/standard/tests/file/
H A Dfiletype_variation3.phpt10 if (!file_exists("/dev/ram0")) {
11 die('skip /dev/ram0 not available');
17 print( filetype("/dev/ram0") )."\n";
/dports/www/mod_php80/php-8.0.15/ext/standard/tests/file/
H A Dfiletype_variation3.phpt10 if (!file_exists("/dev/ram0")) {
11 die('skip /dev/ram0 not available');
17 print( filetype("/dev/ram0") )."\n";
/dports/cad/digital/Digital-0.27/src/test/java/de/neemann/digital/gui/
H A DProgramMemoryLoaderTest.java46 RAMDualPort ram0 = ramList.get(0); in testSplit() local
49 assertEquals("R0", ram0.getLabel()); in testSplit()
52 assertEquals(0x55, ram0.getMemory().getDataWord(0)); in testSplit()
54 assertEquals(0x56, ram0.getMemory().getDataWord(1)); in testSplit()
/dports/lang/php74/php-7.4.27/ext/standard/tests/file/
H A Dfiletype_variation3.phpt10 if (!file_exists("/dev/ram0")) {
11 die('skip /dev/ram0 not available');
23 print( filetype("/dev/ram0") )."\n";
/dports/lang/php73/php-7.3.33/ext/standard/tests/file/
H A Dfiletype_variation3.phpt10 if (!file_exists("/dev/ram0")) {
11 die('skip /dev/ram0 not available');
23 print( filetype("/dev/ram0") )."\n";
/dports/www/mod_php73/php-7.3.33/ext/standard/tests/file/
H A Dfiletype_variation3.phpt10 if (!file_exists("/dev/ram0")) {
11 die('skip /dev/ram0 not available');
23 print( filetype("/dev/ram0") )."\n";
/dports/www/mod_php74/php-7.4.27/ext/standard/tests/file/
H A Dfiletype_variation3.phpt10 if (!file_exists("/dev/ram0")) {
11 die('skip /dev/ram0 not available');
23 print( filetype("/dev/ram0") )."\n";
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp1/inband_lib/
H A Dchannel_ram.v13 reg [31:0] ram0 [0:127]; register
30 if(WR & (which_ram_wr == 2'd0)) ram0[wr_addr] <= datain;
63 always @(posedge txclk) dataout0 <= ram0[rd_addr_final];
/dports/devel/jiic/jiic/
H A Dmakerd.sh3 sudo mke2fs -m 0 /dev/ram0
5 sudo mount /dev/ram0 /mnt/rd
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/genrams/xilinx/
H A Dgeneric_dpram_split.vhd21 -- This module is hardcoded to 32-bits and 4 ram modules (ram0-3). It would be
26 -- shared variable ram0 : t_split_ram := f_file_to_ramtype(0);
120 shared variable ram0 : t_split_ram := f_file_to_ramtype(0); variable
142 -- yes, I know this is 4 times exactly the same code for ram0,1,2,3,
148 qa_o(7 downto 0) <= ram0(f_check_bounds(to_integer(unsigned(aa_i)), 0, g_size-1));
150 ram0(f_check_bounds(to_integer(unsigned(aa_i)), 0, g_size-1)) := da_i(7 downto 0);
158 qb_o(7 downto 0) <= ram0(f_check_bounds(to_integer(unsigned(ab_i)), 0, g_size-1));
160 ram0(f_check_bounds(to_integer(unsigned(ab_i)), 0, g_size-1)) := db_i(7 downto 0);
/dports/multimedia/libv4l/linux-5.13-rc2/Documentation/admin-guide/blockdev/
H A Dramdisk.rst33 for /dev/ram0, etc. If used, modern kernels use /dev/ram0 for an initrd.
90 example, we will use the RAM disk device, "/dev/ram0".
93 of RAM. If using a spare disk partition instead of /dev/ram0, then this
102 dd if=/dev/zero of=/dev/ram0 bs=1k count=2048
106 mke2fs -vm0 /dev/ram0 2048
115 dd if=/dev/ram0 bs=1k count=2048 | gzip -v9 > /tmp/ram_image.gz
/dports/multimedia/v4l-utils/linux-5.13-rc2/Documentation/admin-guide/blockdev/
H A Dramdisk.rst33 for /dev/ram0, etc. If used, modern kernels use /dev/ram0 for an initrd.
90 example, we will use the RAM disk device, "/dev/ram0".
93 of RAM. If using a spare disk partition instead of /dev/ram0, then this
102 dd if=/dev/zero of=/dev/ram0 bs=1k count=2048
106 mke2fs -vm0 /dev/ram0 2048
115 dd if=/dev/ram0 bs=1k count=2048 | gzip -v9 > /tmp/ram_image.gz
/dports/multimedia/v4l_compat/linux-5.13-rc2/Documentation/admin-guide/blockdev/
H A Dramdisk.rst33 for /dev/ram0, etc. If used, modern kernels use /dev/ram0 for an initrd.
90 example, we will use the RAM disk device, "/dev/ram0".
93 of RAM. If using a spare disk partition instead of /dev/ram0, then this
102 dd if=/dev/zero of=/dev/ram0 bs=1k count=2048
106 mke2fs -vm0 /dev/ram0 2048
115 dd if=/dev/ram0 bs=1k count=2048 | gzip -v9 > /tmp/ram_image.gz
/dports/devel/tigcc/tigcc-0.96.b8_10/gnu/binutils-2.16.1/ld/scripttempl/
H A Dtic30coff.sc46 .ram0 :
48 *(ram0)
/dports/devel/zpu-gcc/zpu-toolchain-1.0/toolchain/binutils/ld/scripttempl/
H A Dtic30coff.sc46 .ram0 :
48 *(ram0)
/dports/devel/zpu-binutils/zpu-toolchain-1.0/toolchain/binutils/ld/scripttempl/
H A Dtic30coff.sc46 .ram0 :
48 *(ram0)
/dports/devel/djgpp-binutils/binutils-2.17/ld/scripttempl/
H A Dtic30coff.sc46 .ram0 :
48 *(ram0)
/dports/lang/gnatdroid-binutils-x86/binutils-2.27/ld/scripttempl/
H A Dtic30coff.sc58 .ram0 :
60 *(ram0)

12345678910>>...105