/dports/security/p5-Digest-Bcrypt/Digest-Bcrypt-1.209/xt/author/ |
H A D | rand_bits.t | 4 use Data::Entropy::Algorithms qw(rand_bits); 13 my $bits = rand_bits(128); # 16 octets 14 is(length($bits), 16, 'rand_bits: 16 octets'); 29 is($err, undef, 'rand_bits salt: no error'); 30 ok($res, 'rand_bits salt: got a proper digest'); 41 $ctx->salt(rand_bits(256));
|
/dports/security/sequoia/sequoia-383133f6be990237044900a4df676488bf8dd71e/cargo-crates/zbase32-0.1.2/tests/ |
H A D | fuzz.rs | 63 let rand_bits = rand_bit_length(data.len(), 8); localVariable 64 zbase32::encode(&data, rand_bits); 70 let rand_bits = rand_bit_length(data.len(), 5); localVariable 71 let decoded1 = zbase32::decode(&data.as_bytes(), rand_bits).unwrap(); 72 let encoded = zbase32::encode(&decoded1, rand_bits); 73 let decoded2 = zbase32::decode_str(&encoded, rand_bits).unwrap();
|
/dports/net/kamailio/kamailio-5.4.5/src/modules/tm/ |
H A D | callid.c | 71 int rand_bits, i; in init_callid() local 84 for(rand_bits = 1, i = KAM_RAND_MAX; i; i >>= 1, rand_bits++) in init_callid() 87 / rand_bits; /* how many rands() fit in the ULONG ? */ in init_callid() 94 callid_nr <<= rand_bits; in init_callid()
|
/dports/security/p5-Data-Entropy/Data-Entropy-0.007/t/ |
H A D | rand_bits.t | 11 use_ok "Data::Entropy::Algorithms", qw(rand_bits); 19 is rand_bits($nbits), pack("h*", $_); 21 is rand_bits(0), ""; 22 eval { rand_bits(-1); };
|
/dports/multimedia/v4l_compat/linux-5.13-rc2/mm/ |
H A D | shuffle.c | 165 static u8 rand_bits; in shuffle_pick_tail() local 172 if (rand_bits == 0) { in shuffle_pick_tail() 173 rand_bits = 64; in shuffle_pick_tail() 179 rand_bits--; in shuffle_pick_tail()
|
/dports/multimedia/libv4l/linux-5.13-rc2/mm/ |
H A D | shuffle.c | 165 static u8 rand_bits; in shuffle_pick_tail() local 172 if (rand_bits == 0) { in shuffle_pick_tail() 173 rand_bits = 64; in shuffle_pick_tail() 179 rand_bits--; in shuffle_pick_tail()
|
/dports/multimedia/v4l-utils/linux-5.13-rc2/mm/ |
H A D | shuffle.c | 165 static u8 rand_bits; in shuffle_pick_tail() local 172 if (rand_bits == 0) { in shuffle_pick_tail() 173 rand_bits = 64; in shuffle_pick_tail() 179 rand_bits--; in shuffle_pick_tail()
|
/dports/math/p5-Math-Prime-Util-GMP/Math-Prime-Util-GMP-0.52/xt/ |
H A D | bench-random-bytes.pl | 22 "DEA" => sub { Data::Entropy::Algorithms::rand_bits(8*8); }, 34 "DEA" => sub { Data::Entropy::Algorithms::rand_bits(8*256); }, 46 "DEA" => sub { Data::Entropy::Algorithms::rand_bits(8*16384); },
|
/dports/cad/verilator/verilator-4.216/test_regress/t/ |
H A D | t_rnd.v | 29 wire [7:0] rand_bits = 8'b01xx_xx10; net 38 if ((rand_bits & 8'b1100_0011) !== 8'b0100_0010) $stop;
|
/dports/math/zn_poly/zn_poly-0.9.2/test/ |
H A D | pack-test.c | 157 unsigned rand_bits = (b >= ULONG_BITS) ? ULONG_BITS : b; in testcase_zn_array_pack() local 158 rand_bits = random_ulong (rand_bits) + 1; in testcase_zn_array_pack() 159 ulong max = (rand_bits == ULONG_BITS) in testcase_zn_array_pack() 160 ? ((ulong)(-1)) : ((1UL << rand_bits) - 1); in testcase_zn_array_pack()
|
/dports/security/p5-Digest-Bcrypt/Digest-Bcrypt-1.209/ |
H A D | README | 23 use Data::Entropy::Algorithms qw(rand_bits); 24 $bcrypt->salt(rand_bits(16*8)); # 16 octets 40 use Data::Entropy::Algorithms qw(rand_bits); 41 my $bcrypt = Digest->new('Bcrypt', cost=>20, salt=>rand_bits(16*8)); 89 use Data::Entropy::Algorithms qw(rand_bits); 90 $bcrypt->salt(rand_bits(16*8)); # 16 octets
|
H A D | MANIFEST | 23 xt/author/rand_bits.t
|
/dports/www/chromium-legacy/chromium-88.0.4324.182/third_party/shell-encryption/src/ |
H A D | montgomery.h | 440 Int rand_bits = 0; 443 RLWE_ASSIGN_OR_RETURN(rand_bits, prng->Rand8()); 447 rand_bits & ((static_cast<Int>(1) << bits_required) - 1); 452 RLWE_ASSIGN_OR_RETURN(rand_bits, prng->Rand64()); 457 rand_bits & ((static_cast<Int>(1) << bits_to_extract) - 1);
|
/dports/net/chrony/chrony-4.2/test/unit/ |
H A D | ntp_sources.c | 53 resolve_random_address(DNS_Status status, int rand_bits) in resolve_random_address() argument 64 TST_GetRandomAddress(&ip_addrs[i], IPADDR_UNSPEC, rand_bits); in resolve_random_address() 73 update_random_address(NTP_Remote_Address *addr, int rand_bits) in update_random_address() argument 78 TST_GetRandomAddress(&new_addr.ip_addr, IPADDR_UNSPEC, rand_bits); in update_random_address()
|
/dports/net/chrony-lite/chrony-4.2/test/unit/ |
H A D | ntp_sources.c | 53 resolve_random_address(DNS_Status status, int rand_bits) in resolve_random_address() argument 64 TST_GetRandomAddress(&ip_addrs[i], IPADDR_UNSPEC, rand_bits); in resolve_random_address() 73 update_random_address(NTP_Remote_Address *addr, int rand_bits) in update_random_address() argument 78 TST_GetRandomAddress(&new_addr.ip_addr, IPADDR_UNSPEC, rand_bits); in update_random_address()
|
/dports/devel/bugzilla44/bugzilla-4.4.13/Bugzilla/ |
H A D | Mailer.pm | 187 my $rand_bits = generate_random_password(10); 188 $threadingmarker = "Message-ID: <bug-$bug_id-$user_id-$rand_bits$sitespec>" .
|
/dports/security/liboqs/liboqs-0.7.0/src/sig/picnic/external/ |
H A D | randomness.h | 17 int rand_bits(uint8_t* dst, size_t num_bits);
|
H A D | randomness.c | 14 int rand_bits(uint8_t* dst, size_t num_bits) { in rand_bits() function
|
/dports/devel/bugzilla50/bugzilla-5.0.4/Bugzilla/ |
H A D | Mailer.pm | 204 my $rand_bits = generate_random_password(10); 205 $threadingmarker = "Message-ID: <bug-$bug_id-$user_id-$rand_bits$sitespec>" .
|
/dports/net/spread4/spread-src-4.2.0/stdutil/src/stdutil/private/ |
H A D | stdskl_p.h | 75 stduint32 rand_bits; member
|
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_fosphor/ |
H A D | rfnoc_block_fosphor_tb.sv | 204 static function logic [WIDTH-1:0] rand_bits(); function in rfnoc_block_fosphor_tb.Rand 211 endfunction : rand_bits 286 cfg = Rand #($bits(cfg))::rand_bits();
|
/dports/security/py-pycryptodomex/pycryptodome-3.10.1/lib/Crypto/Util/ |
H A D | number.py | 78 rand_bits = ord(randfunc(1)) >> (8-odd_bits) 79 S = struct.pack('B', rand_bits) + S
|
/dports/security/py-pycryptodome/pycryptodome-3.10.1/lib/Crypto/Util/ |
H A D | number.py | 78 rand_bits = ord(randfunc(1)) >> (8-odd_bits) 79 S = struct.pack('B', rand_bits) + S
|
/dports/security/p5-Data-Entropy/Data-Entropy-0.007/ |
H A D | MANIFEST | 29 t/rand_bits.t
|
/dports/security/p5-Authen-Passphrase/Authen-Passphrase-0.008/lib/Authen/Passphrase/ |
H A D | NetscapeMail.pm | 119 $self->{salt} = unpack("H*", rand_bits(128));
|