Home
last modified time | relevance | path

Searched refs:regbits (Results 1 – 25 of 29) sorted by relevance

12

/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/net/dsa/microchip/
H A Dksz_common.h299 #define KSZ_SPI_OP_FLAG_MASK(opcode, swp, regbits, regpad) \ argument
300 swab##swp((opcode) << ((regbits) + (regpad)))
302 #define KSZ_REGMAP_ENTRY(width, swp, regbits, regpad, regalign) \ argument
307 .reg_bits = (regbits) + (regalign), \
309 .max_register = BIT(regbits) - 1, \
313 regbits, regpad), \
316 regbits, regpad), \
323 #define KSZ_REGMAP_TABLE(ksz, swp, regbits, regpad, regalign) \ argument
325 KSZ_REGMAP_ENTRY(8, swp, (regbits), (regpad), (regalign)), \
326 KSZ_REGMAP_ENTRY(16, swp, (regbits), (regpad), (regalign)), \
[all …]
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/net/dsa/microchip/
H A Dksz_common.h299 #define KSZ_SPI_OP_FLAG_MASK(opcode, swp, regbits, regpad) \ argument
300 swab##swp((opcode) << ((regbits) + (regpad)))
302 #define KSZ_REGMAP_ENTRY(width, swp, regbits, regpad, regalign) \ argument
307 .reg_bits = (regbits) + (regalign), \
309 .max_register = BIT(regbits) - 1, \
313 regbits, regpad), \
316 regbits, regpad), \
323 #define KSZ_REGMAP_TABLE(ksz, swp, regbits, regpad, regalign) \ argument
325 KSZ_REGMAP_ENTRY(8, swp, (regbits), (regpad), (regalign)), \
326 KSZ_REGMAP_ENTRY(16, swp, (regbits), (regpad), (regalign)), \
[all …]
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/net/dsa/microchip/
H A Dksz_common.h299 #define KSZ_SPI_OP_FLAG_MASK(opcode, swp, regbits, regpad) \ argument
300 swab##swp((opcode) << ((regbits) + (regpad)))
302 #define KSZ_REGMAP_ENTRY(width, swp, regbits, regpad, regalign) \ argument
307 .reg_bits = (regbits) + (regalign), \
309 .max_register = BIT(regbits) - 1, \
313 regbits, regpad), \
316 regbits, regpad), \
323 #define KSZ_REGMAP_TABLE(ksz, swp, regbits, regpad, regalign) \ argument
325 KSZ_REGMAP_ENTRY(8, swp, (regbits), (regpad), (regalign)), \
326 KSZ_REGMAP_ENTRY(16, swp, (regbits), (regpad), (regalign)), \
[all …]
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/bus/
H A Dti-sysc.c1010 const struct sysc_regbits *regbits; in sysc_enable_module() local
1049 regbits = ddata->cap->regbits; in sysc_enable_module()
1057 if (regbits->clkact_shift >= 0 && in sysc_enable_module()
1077 if (regbits->enwkup_shift >= 0 && in sysc_enable_module()
1107 if (regbits->autoidle_shift >= 0 && in sysc_enable_module()
1140 const struct sysc_regbits *regbits; in sysc_disable_module() local
1151 regbits = ddata->cap->regbits; in sysc_disable_module()
2491 .regbits = &sysc_regbits_omap2,
2500 .regbits = &sysc_regbits_omap2,
2564 .regbits = &sysc_regbits_omap4,
[all …]
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/bus/
H A Dti-sysc.c1010 const struct sysc_regbits *regbits; in sysc_enable_module() local
1049 regbits = ddata->cap->regbits; in sysc_enable_module()
1057 if (regbits->clkact_shift >= 0 && in sysc_enable_module()
1077 if (regbits->enwkup_shift >= 0 && in sysc_enable_module()
1107 if (regbits->autoidle_shift >= 0 && in sysc_enable_module()
1140 const struct sysc_regbits *regbits; in sysc_disable_module() local
1151 regbits = ddata->cap->regbits; in sysc_disable_module()
2491 .regbits = &sysc_regbits_omap2,
2500 .regbits = &sysc_regbits_omap2,
2564 .regbits = &sysc_regbits_omap4,
[all …]
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/bus/
H A Dti-sysc.c1010 const struct sysc_regbits *regbits; in sysc_enable_module() local
1049 regbits = ddata->cap->regbits; in sysc_enable_module()
1057 if (regbits->clkact_shift >= 0 && in sysc_enable_module()
1077 if (regbits->enwkup_shift >= 0 && in sysc_enable_module()
1107 if (regbits->autoidle_shift >= 0 && in sysc_enable_module()
1140 const struct sysc_regbits *regbits; in sysc_disable_module() local
1151 regbits = ddata->cap->regbits; in sysc_disable_module()
2491 .regbits = &sysc_regbits_omap2,
2500 .regbits = &sysc_regbits_omap2,
2564 .regbits = &sysc_regbits_omap4,
[all …]
/dports/lang/clover/mesa-21.3.6/src/gallium/drivers/r600/sb/
H A Dsb_ra_init.cpp43 class regbits { class
83 void regbits::dump() { in dump()
99 void regbits::set_all(unsigned v) { in set_all()
122 void regbits::set(unsigned index) { in set()
128 void regbits::clear(unsigned index) { in clear()
135 bool regbits::get(unsigned index) { in get()
141 void regbits::set(unsigned index, unsigned val) { in set()
149 sel_chan regbits::find_free_bit() { in find_free_bit()
300 regbits rb(sh, s); in alloc_arrays()
396 regbits rb(ctx.alu_temp_gprs); in color_bs_constraint()
[all …]
/dports/graphics/libosmesa/mesa-21.3.6/src/gallium/drivers/r600/sb/
H A Dsb_ra_init.cpp43 class regbits { class
83 void regbits::dump() { in dump()
99 void regbits::set_all(unsigned v) { in set_all()
122 void regbits::set(unsigned index) { in set()
128 void regbits::clear(unsigned index) { in clear()
135 bool regbits::get(unsigned index) { in get()
141 void regbits::set(unsigned index, unsigned val) { in set()
149 sel_chan regbits::find_free_bit() { in find_free_bit()
300 regbits rb(sh, s); in alloc_arrays()
396 regbits rb(ctx.alu_temp_gprs); in color_bs_constraint()
[all …]
/dports/graphics/libosmesa-gallium/mesa-21.3.6/src/gallium/drivers/r600/sb/
H A Dsb_ra_init.cpp43 class regbits { class
83 void regbits::dump() { in dump()
99 void regbits::set_all(unsigned v) { in set_all()
122 void regbits::set(unsigned index) { in set()
128 void regbits::clear(unsigned index) { in clear()
135 bool regbits::get(unsigned index) { in get()
141 void regbits::set(unsigned index, unsigned val) { in set()
149 sel_chan regbits::find_free_bit() { in find_free_bit()
300 regbits rb(sh, s); in alloc_arrays()
396 regbits rb(ctx.alu_temp_gprs); in color_bs_constraint()
[all …]
/dports/graphics/mesa-libs/mesa-21.3.6/src/gallium/drivers/r600/sb/
H A Dsb_ra_init.cpp43 class regbits { class
83 void regbits::dump() { in dump()
99 void regbits::set_all(unsigned v) { in set_all()
122 void regbits::set(unsigned index) { in set()
128 void regbits::clear(unsigned index) { in clear()
135 bool regbits::get(unsigned index) { in get()
141 void regbits::set(unsigned index, unsigned val) { in set()
149 sel_chan regbits::find_free_bit() { in find_free_bit()
300 regbits rb(sh, s); in alloc_arrays()
396 regbits rb(ctx.alu_temp_gprs); in color_bs_constraint()
[all …]
/dports/graphics/mesa-dri-classic/mesa-20.2.3/src/gallium/drivers/r600/sb/
H A Dsb_ra_init.cpp43 class regbits { class
83 void regbits::dump() { in dump()
99 void regbits::set_all(unsigned v) { in set_all()
122 void regbits::set(unsigned index) { in set()
128 void regbits::clear(unsigned index) { in clear()
135 bool regbits::get(unsigned index) { in get()
141 void regbits::set(unsigned index, unsigned val) { in set()
149 sel_chan regbits::find_free_bit() { in find_free_bit()
300 regbits rb(sh, s); in alloc_arrays()
391 regbits rb(ctx.alu_temp_gprs); in color_bs_constraint()
[all …]
/dports/graphics/mesa-gallium-va/mesa-21.3.6/src/gallium/drivers/r600/sb/
H A Dsb_ra_init.cpp43 class regbits { class
83 void regbits::dump() { in dump()
99 void regbits::set_all(unsigned v) { in set_all()
122 void regbits::set(unsigned index) { in set()
128 void regbits::clear(unsigned index) { in clear()
135 bool regbits::get(unsigned index) { in get()
141 void regbits::set(unsigned index, unsigned val) { in set()
149 sel_chan regbits::find_free_bit() { in find_free_bit()
300 regbits rb(sh, s); in alloc_arrays()
396 regbits rb(ctx.alu_temp_gprs); in color_bs_constraint()
[all …]
/dports/graphics/mesa-gallium-vdpau/mesa-21.3.6/src/gallium/drivers/r600/sb/
H A Dsb_ra_init.cpp43 class regbits { class
83 void regbits::dump() { in dump()
99 void regbits::set_all(unsigned v) { in set_all()
122 void regbits::set(unsigned index) { in set()
128 void regbits::clear(unsigned index) { in clear()
135 bool regbits::get(unsigned index) { in get()
141 void regbits::set(unsigned index, unsigned val) { in set()
149 sel_chan regbits::find_free_bit() { in find_free_bit()
300 regbits rb(sh, s); in alloc_arrays()
396 regbits rb(ctx.alu_temp_gprs); in color_bs_constraint()
[all …]
/dports/graphics/mesa-devel/mesa-22.0-branchpoint-2059-ge8a63cf61ec/src/gallium/drivers/r600/sb/
H A Dsb_ra_init.cpp43 class regbits { class
83 void regbits::dump() { in dump()
99 void regbits::set_all(unsigned v) { in set_all()
122 void regbits::set(unsigned index) { in set()
128 void regbits::clear(unsigned index) { in clear()
135 bool regbits::get(unsigned index) { in get()
141 void regbits::set(unsigned index, unsigned val) { in set()
149 sel_chan regbits::find_free_bit() { in find_free_bit()
300 regbits rb(sh, s); in alloc_arrays()
396 regbits rb(ctx.alu_temp_gprs); in color_bs_constraint()
[all …]
/dports/graphics/mesa-dri-gallium/mesa-21.3.6/src/gallium/drivers/r600/sb/
H A Dsb_ra_init.cpp43 class regbits { class
83 void regbits::dump() { in dump()
99 void regbits::set_all(unsigned v) { in set_all()
122 void regbits::set(unsigned index) { in set()
128 void regbits::clear(unsigned index) { in clear()
135 bool regbits::get(unsigned index) { in get()
141 void regbits::set(unsigned index, unsigned val) { in set()
149 sel_chan regbits::find_free_bit() { in find_free_bit()
300 regbits rb(sh, s); in alloc_arrays()
396 regbits rb(ctx.alu_temp_gprs); in color_bs_constraint()
[all …]
/dports/graphics/mesa-gallium-xa/mesa-21.3.6/src/gallium/drivers/r600/sb/
H A Dsb_ra_init.cpp43 class regbits { class
83 void regbits::dump() { in dump()
99 void regbits::set_all(unsigned v) { in set_all()
122 void regbits::set(unsigned index) { in set()
128 void regbits::clear(unsigned index) { in clear()
135 bool regbits::get(unsigned index) { in get()
141 void regbits::set(unsigned index, unsigned val) { in set()
149 sel_chan regbits::find_free_bit() { in find_free_bit()
300 regbits rb(sh, s); in alloc_arrays()
396 regbits rb(ctx.alu_temp_gprs); in color_bs_constraint()
[all …]
/dports/graphics/mesa-dri/mesa-21.3.6/src/gallium/drivers/r600/sb/
H A Dsb_ra_init.cpp43 class regbits { class
83 void regbits::dump() { in dump()
99 void regbits::set_all(unsigned v) { in set_all()
122 void regbits::set(unsigned index) { in set()
128 void regbits::clear(unsigned index) { in clear()
135 bool regbits::get(unsigned index) { in get()
141 void regbits::set(unsigned index, unsigned val) { in set()
149 sel_chan regbits::find_free_bit() { in find_free_bit()
300 regbits rb(sh, s); in alloc_arrays()
396 regbits rb(ctx.alu_temp_gprs); in color_bs_constraint()
[all …]
/dports/multimedia/v4l-utils/linux-5.13-rc2/arch/arm/mm/
H A Dalignment.c502 unsigned int rd, rn, correction, nr_regs, regbits; in do_alignment_ldmstm() local
550 for (regbits = REGMASK_BITS(instr), rd = 0; regbits; in do_alignment_ldmstm()
551 regbits >>= 1, rd += 1) in do_alignment_ldmstm()
552 if (regbits & 1) { in do_alignment_ldmstm()
563 for (regbits = REGMASK_BITS(instr), rd = 0; regbits; in do_alignment_ldmstm()
564 regbits >>= 1, rd += 1) in do_alignment_ldmstm()
565 if (regbits & 1) { in do_alignment_ldmstm()
/dports/multimedia/v4l_compat/linux-5.13-rc2/arch/arm/mm/
H A Dalignment.c502 unsigned int rd, rn, correction, nr_regs, regbits; in do_alignment_ldmstm() local
550 for (regbits = REGMASK_BITS(instr), rd = 0; regbits; in do_alignment_ldmstm()
551 regbits >>= 1, rd += 1) in do_alignment_ldmstm()
552 if (regbits & 1) { in do_alignment_ldmstm()
563 for (regbits = REGMASK_BITS(instr), rd = 0; regbits; in do_alignment_ldmstm()
564 regbits >>= 1, rd += 1) in do_alignment_ldmstm()
565 if (regbits & 1) { in do_alignment_ldmstm()
/dports/multimedia/libv4l/linux-5.13-rc2/arch/arm/mm/
H A Dalignment.c502 unsigned int rd, rn, correction, nr_regs, regbits; in do_alignment_ldmstm() local
550 for (regbits = REGMASK_BITS(instr), rd = 0; regbits; in do_alignment_ldmstm()
551 regbits >>= 1, rd += 1) in do_alignment_ldmstm()
552 if (regbits & 1) { in do_alignment_ldmstm()
563 for (regbits = REGMASK_BITS(instr), rd = 0; regbits; in do_alignment_ldmstm()
564 regbits >>= 1, rd += 1) in do_alignment_ldmstm()
565 if (regbits & 1) { in do_alignment_ldmstm()
/dports/multimedia/v4l-utils/linux-5.13-rc2/arch/arm/mach-omap2/
H A Domap_hwmod.c3125 const struct sysc_regbits *regbits = data->cap->regbits; in omap_hwmod_check_sysc() local
3128 regbits->dmadisable_shift, in omap_hwmod_check_sysc()
3131 regbits->midle_shift, in omap_hwmod_check_sysc()
3134 regbits->sidle_shift, in omap_hwmod_check_sysc()
3137 regbits->clkact_shift, in omap_hwmod_check_sysc()
3140 regbits->enwkup_shift, in omap_hwmod_check_sysc()
3143 regbits->srst_shift, in omap_hwmod_check_sysc()
3146 regbits->autoidle_shift, in omap_hwmod_check_sysc()
3284 if (data->cap->regbits->enwkup_shift >= 0) in omap_hwmod_init_sysc_flags()
3286 if (data->cap->regbits->srst_shift >= 0) in omap_hwmod_init_sysc_flags()
[all …]
/dports/multimedia/v4l_compat/linux-5.13-rc2/arch/arm/mach-omap2/
H A Domap_hwmod.c3125 const struct sysc_regbits *regbits = data->cap->regbits; in omap_hwmod_check_sysc() local
3128 regbits->dmadisable_shift, in omap_hwmod_check_sysc()
3131 regbits->midle_shift, in omap_hwmod_check_sysc()
3134 regbits->sidle_shift, in omap_hwmod_check_sysc()
3137 regbits->clkact_shift, in omap_hwmod_check_sysc()
3140 regbits->enwkup_shift, in omap_hwmod_check_sysc()
3143 regbits->srst_shift, in omap_hwmod_check_sysc()
3146 regbits->autoidle_shift, in omap_hwmod_check_sysc()
3284 if (data->cap->regbits->enwkup_shift >= 0) in omap_hwmod_init_sysc_flags()
3286 if (data->cap->regbits->srst_shift >= 0) in omap_hwmod_init_sysc_flags()
[all …]
/dports/multimedia/libv4l/linux-5.13-rc2/arch/arm/mach-omap2/
H A Domap_hwmod.c3125 const struct sysc_regbits *regbits = data->cap->regbits; in omap_hwmod_check_sysc() local
3128 regbits->dmadisable_shift, in omap_hwmod_check_sysc()
3131 regbits->midle_shift, in omap_hwmod_check_sysc()
3134 regbits->sidle_shift, in omap_hwmod_check_sysc()
3137 regbits->clkact_shift, in omap_hwmod_check_sysc()
3140 regbits->enwkup_shift, in omap_hwmod_check_sysc()
3143 regbits->srst_shift, in omap_hwmod_check_sysc()
3146 regbits->autoidle_shift, in omap_hwmod_check_sysc()
3284 if (data->cap->regbits->enwkup_shift >= 0) in omap_hwmod_init_sysc_flags()
3286 if (data->cap->regbits->srst_shift >= 0) in omap_hwmod_init_sysc_flags()
[all …]
/dports/multimedia/v4l_compat/linux-5.13-rc2/include/linux/platform_data/
H A Dti-sysc.h93 const struct sysc_regbits *regbits; member
/dports/multimedia/libv4l/linux-5.13-rc2/include/linux/platform_data/
H A Dti-sysc.h93 const struct sysc_regbits *regbits; member

12