Home
last modified time | relevance | path

Searched refs:s_ctrl (Results 1 – 25 of 840) sorted by relevance

12345678910>>...34

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/host/examples/rfnoc-example/fpga/rfnoc_block_gain/
H A Drfnoc_block_gain_tb.sv59 AxiStreamIf #(32) s_ctrl (rfnoc_ctrl_clk, 1'b0); instance
66 RfnocBlockCtrlBfm #(CHDR_W, ITEM_W) blk_ctrl = new(backend, m_ctrl, s_ctrl);
139 .m_rfnoc_ctrl_tdata (s_ctrl.tdata),
140 .m_rfnoc_ctrl_tlast (s_ctrl.tlast),
141 .m_rfnoc_ctrl_tvalid (s_ctrl.tvalid),
142 .m_rfnoc_ctrl_tready (s_ctrl.tready)
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_duc/
H A Drfnoc_block_duc_tb.sv68 AxiStreamIf #(32) s_ctrl (rfnoc_ctrl_clk, 1'b0); instance
74 new(backend, m_ctrl, s_ctrl);
142 .m_rfnoc_ctrl_tdata (s_ctrl.tdata),
143 .m_rfnoc_ctrl_tlast (s_ctrl.tlast),
144 .m_rfnoc_ctrl_tvalid (s_ctrl.tvalid),
145 .m_rfnoc_ctrl_tready (s_ctrl.tready)
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_split_stream/
H A Drfnoc_block_split_stream_tb.sv73 AxiStreamIf #(32) s_ctrl (rfnoc_ctrl_clk, 1'b0); instance
80 RfnocBlockCtrlBfm #(CHDR_W, ITEM_W) blk_ctrl = new(backend, m_ctrl, s_ctrl);
158 .m_rfnoc_ctrl_tdata (s_ctrl.tdata),
159 .m_rfnoc_ctrl_tlast (s_ctrl.tlast),
160 .m_rfnoc_ctrl_tvalid (s_ctrl.tvalid),
161 .m_rfnoc_ctrl_tready (s_ctrl.tready)
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/docs/usrp3/sim/
H A Dwriting_sim_top.md71 AxiStreamIf #(32) s_ctrl (rfnoc_ctrl_clk, 1'b0);
78 RfnocBlockCtrlBfm #(CHDR_W, ITEM_W) blk_ctrl = new(backend, m_ctrl, s_ctrl);
151 .m_rfnoc_ctrl_tdata (s_ctrl.tdata),
152 .m_rfnoc_ctrl_tlast (s_ctrl.tlast),
153 .m_rfnoc_ctrl_tvalid (s_ctrl.tvalid),
154 .m_rfnoc_ctrl_tready (s_ctrl.tready)
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_null_src_sink/
H A Drfnoc_block_null_src_sink_tb.sv52 AxiStreamIf #(32) s_ctrl (rfnoc_chdr_clk); // Required control iface instance
89 .m_rfnoc_ctrl_tdata (s_ctrl.tdata ),
90 .m_rfnoc_ctrl_tlast (s_ctrl.tlast ),
91 .m_rfnoc_ctrl_tvalid(s_ctrl.tvalid),
92 .m_rfnoc_ctrl_tready(s_ctrl.tready)
110 blk_ctrl = new(backend, m_ctrl, s_ctrl);
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_switchboard/
H A Drfnoc_block_switchboard_tb.sv69 AxiStreamIf #(32) s_ctrl (rfnoc_ctrl_clk, 1'b0); instance
76 RfnocBlockCtrlBfm #(CHDR_W, ITEM_W) blk_ctrl = new(backend, m_ctrl, s_ctrl);
153 .m_rfnoc_ctrl_tdata (s_ctrl.tdata),
154 .m_rfnoc_ctrl_tlast (s_ctrl.tlast),
155 .m_rfnoc_ctrl_tvalid (s_ctrl.tvalid),
156 .m_rfnoc_ctrl_tready (s_ctrl.tready)
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_ddc/
H A Drfnoc_block_ddc_tb.sv68 AxiStreamIf #(32) s_ctrl (rfnoc_ctrl_clk, 1'b0); instance
74 new(backend, m_ctrl, s_ctrl);
142 .m_rfnoc_ctrl_tdata (s_ctrl.tdata),
143 .m_rfnoc_ctrl_tlast (s_ctrl.tlast),
144 .m_rfnoc_ctrl_tvalid (s_ctrl.tvalid),
145 .m_rfnoc_ctrl_tready (s_ctrl.tready)
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_logpwr/
H A Drfnoc_block_logpwr_tb.sv65 AxiStreamIf #(32) s_ctrl (rfnoc_ctrl_clk, 1'b0); instance
72 RfnocBlockCtrlBfm #(CHDR_W, ITEM_W) blk_ctrl = new(backend, m_ctrl, s_ctrl);
151 .m_rfnoc_ctrl_tdata (s_ctrl.tdata),
152 .m_rfnoc_ctrl_tlast (s_ctrl.tlast),
153 .m_rfnoc_ctrl_tvalid (s_ctrl.tvalid),
154 .m_rfnoc_ctrl_tready (s_ctrl.tready)
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_window/
H A Drfnoc_block_window_tb.sv66 AxiStreamIf #(32) s_ctrl (rfnoc_ctrl_clk, 1'b0); instance
73 RfnocBlockCtrlBfm #(CHDR_W, ITEM_W) blk_ctrl = new(backend, m_ctrl, s_ctrl);
150 .m_rfnoc_ctrl_tdata (s_ctrl.tdata),
151 .m_rfnoc_ctrl_tlast (s_ctrl.tlast),
152 .m_rfnoc_ctrl_tvalid (s_ctrl.tvalid),
153 .m_rfnoc_ctrl_tready (s_ctrl.tready)
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/sim/ctrlport_endpoint_tb/
H A Dctrlport_endpoint_tb.sv41 AxiStreamIf #(32) s_ctrl (rfnoc_ctrl_clk, rfnoc_ctrl_rst); instance
149 .o_tdata ({s_ctrl.master.tdata , axis_req_tdata }),
150 .o_tlast ({s_ctrl.master.tlast , axis_req_tlast }),
151 .o_tvalid({s_ctrl.master.tvalid, axis_req_tvalid}),
152 .o_tready({s_ctrl.master.tready, axis_req_tready})
362 axis_ctrl_bfm = new(m_ctrl, s_ctrl);
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_addsub/
H A Drfnoc_block_addsub_tb.sv81 AxiStreamIf #(32) s_ctrl (rfnoc_ctrl_clk, 1'b0); instance
88 RfnocBlockCtrlBfm #(CHDR_W, ITEM_W) blk_ctrl = new(backend, m_ctrl, s_ctrl);
164 .m_rfnoc_ctrl_tdata (s_ctrl.tdata),
165 .m_rfnoc_ctrl_tlast (s_ctrl.tlast),
166 .m_rfnoc_ctrl_tvalid (s_ctrl.tvalid),
167 .m_rfnoc_ctrl_tready (s_ctrl.tready)
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_fft/
H A Drfnoc_block_fft_tb.sv68 AxiStreamIf #(32) s_ctrl (rfnoc_ctrl_clk, 1'b0); instance
74 new(backend, m_ctrl, s_ctrl);
119 .m_rfnoc_ctrl_tdata (s_ctrl.tdata ),
120 .m_rfnoc_ctrl_tlast (s_ctrl.tlast ),
121 .m_rfnoc_ctrl_tvalid(s_ctrl.tvalid ),
122 .m_rfnoc_ctrl_tready(s_ctrl.tready )
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/host/utils/rfnoc_blocktool/templates/
H A Drfnoc_block_template_tb.sv.mako80 AxiStreamIf #(32) s_ctrl (rfnoc_ctrl_clk, 1'b0);
87 RfnocBlockCtrlBfm #(CHDR_W, ITEM_W) blk_ctrl = new(backend, m_ctrl, s_ctrl);
172 .m_rfnoc_ctrl_tdata (s_ctrl.tdata),
173 .m_rfnoc_ctrl_tlast (s_ctrl.tlast),
174 .m_rfnoc_ctrl_tvalid (s_ctrl.tvalid),
175 .m_rfnoc_ctrl_tready (s_ctrl.tready)
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_fir_filter/
H A Drfnoc_block_fir_filter_tb.sv94 AxiStreamIf #(32) s_ctrl (rfnoc_ctrl_clk, 1'b0); instance
99 RfnocBlockCtrlBfm #(CHDR_W, SAMP_W) blk_ctrl = new(backend, m_ctrl, s_ctrl);
173 .m_rfnoc_ctrl_tdata (s_ctrl.tdata),
174 .m_rfnoc_ctrl_tlast (s_ctrl.tlast),
175 .m_rfnoc_ctrl_tvalid (s_ctrl.tvalid),
176 .m_rfnoc_ctrl_tready (s_ctrl.tready)
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_moving_avg/
H A Drfnoc_block_moving_avg_tb.sv73 AxiStreamIf #(32) s_ctrl (rfnoc_ctrl_clk, 1'b0); instance
80 RfnocBlockCtrlBfm #(CHDR_W, ITEM_W) blk_ctrl = new(backend, m_ctrl, s_ctrl);
157 .m_rfnoc_ctrl_tdata (s_ctrl.tdata),
158 .m_rfnoc_ctrl_tlast (s_ctrl.tlast),
159 .m_rfnoc_ctrl_tvalid (s_ctrl.tvalid),
160 .m_rfnoc_ctrl_tready (s_ctrl.tready)
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_vector_iir/
H A Drfnoc_block_vector_iir_tb.sv73 AxiStreamIf #(32) s_ctrl (rfnoc_ctrl_clk, 1'b0); instance
80 RfnocBlockCtrlBfm #(CHDR_W, ITEM_W) blk_ctrl = new(backend, m_ctrl, s_ctrl);
157 .m_rfnoc_ctrl_tdata (s_ctrl.tdata),
158 .m_rfnoc_ctrl_tlast (s_ctrl.tlast),
159 .m_rfnoc_ctrl_tvalid (s_ctrl.tvalid),
160 .m_rfnoc_ctrl_tready (s_ctrl.tready)
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_fosphor/
H A Drfnoc_block_fosphor_tb.sv68 AxiStreamIf #(32) s_ctrl (rfnoc_ctrl_clk, 1'b0); instance
75 RfnocBlockCtrlBfm #(CHDR_W, ITEM_W) blk_ctrl = new(backend, m_ctrl, s_ctrl);
149 .m_rfnoc_ctrl_tdata (s_ctrl.tdata),
150 .m_rfnoc_ctrl_tlast (s_ctrl.tlast),
151 .m_rfnoc_ctrl_tvalid (s_ctrl.tvalid),
152 .m_rfnoc_ctrl_tready (s_ctrl.tready)
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/staging/media/atomisp/include/media/
H A Dlm3554.h61 .s_ctrl = _s_ctrl, \
71 .s_ctrl = _s_ctrl, \
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/staging/media/atomisp/include/media/
H A Dlm3554.h61 .s_ctrl = _s_ctrl, \
71 .s_ctrl = _s_ctrl, \
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/staging/media/atomisp/include/media/
H A Dlm3554.h61 .s_ctrl = _s_ctrl, \
71 .s_ctrl = _s_ctrl, \
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_keep_one_in_n/
H A Drfnoc_block_keep_one_in_n_tb.sv65 AxiStreamIf #(32) s_ctrl (rfnoc_ctrl_clk, 1'b0); instance
72 RfnocBlockCtrlBfm #(CHDR_W, ITEM_W) blk_ctrl = new(backend, m_ctrl, s_ctrl);
148 .m_rfnoc_ctrl_tdata (s_ctrl.tdata),
149 .m_rfnoc_ctrl_tlast (s_ctrl.tlast),
150 .m_rfnoc_ctrl_tvalid (s_ctrl.tvalid),
151 .m_rfnoc_ctrl_tready (s_ctrl.tready)
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_siggen/
H A Drfnoc_block_siggen_tb.sv94 AxiStreamIf #(32) s_ctrl (rfnoc_ctrl_clk, 1'b0); instance
101 RfnocBlockCtrlBfm #(CHDR_W, ITEM_W) blk_ctrl = new(backend, m_ctrl, s_ctrl);
177 .m_rfnoc_ctrl_tdata (s_ctrl.tdata),
178 .m_rfnoc_ctrl_tlast (s_ctrl.tlast),
179 .m_rfnoc_ctrl_tvalid (s_ctrl.tvalid),
180 .m_rfnoc_ctrl_tready (s_ctrl.tready)
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/media/test-drivers/vivid/
H A Dvivid-ctrls.c139 .s_ctrl = vivid_user_gen_s_ctrl,
322 .s_ctrl = vivid_fb_s_ctrl,
382 .s_ctrl = vivid_user_vid_s_ctrl,
555 .s_ctrl = vivid_vid_cap_s_ctrl,
921 .s_ctrl = vivid_loop_cap_s_ctrl,
949 .s_ctrl = vivid_vbi_cap_s_ctrl,
1039 .s_ctrl = vivid_vid_out_s_ctrl,
1246 .s_ctrl = vivid_sdtv_cap_s_ctrl,
1325 .s_ctrl = vivid_radio_rx_s_ctrl,
1421 .s_ctrl = vivid_radio_tx_s_ctrl,
[all …]
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/media/test-drivers/vivid/
H A Dvivid-ctrls.c139 .s_ctrl = vivid_user_gen_s_ctrl,
322 .s_ctrl = vivid_fb_s_ctrl,
382 .s_ctrl = vivid_user_vid_s_ctrl,
555 .s_ctrl = vivid_vid_cap_s_ctrl,
921 .s_ctrl = vivid_loop_cap_s_ctrl,
949 .s_ctrl = vivid_vbi_cap_s_ctrl,
1039 .s_ctrl = vivid_vid_out_s_ctrl,
1246 .s_ctrl = vivid_sdtv_cap_s_ctrl,
1325 .s_ctrl = vivid_radio_rx_s_ctrl,
1421 .s_ctrl = vivid_radio_tx_s_ctrl,
[all …]
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/media/test-drivers/vivid/
H A Dvivid-ctrls.c139 .s_ctrl = vivid_user_gen_s_ctrl,
322 .s_ctrl = vivid_fb_s_ctrl,
382 .s_ctrl = vivid_user_vid_s_ctrl,
555 .s_ctrl = vivid_vid_cap_s_ctrl,
921 .s_ctrl = vivid_loop_cap_s_ctrl,
949 .s_ctrl = vivid_vbi_cap_s_ctrl,
1039 .s_ctrl = vivid_vid_out_s_ctrl,
1246 .s_ctrl = vivid_sdtv_cap_s_ctrl,
1325 .s_ctrl = vivid_radio_rx_s_ctrl,
1421 .s_ctrl = vivid_radio_tx_s_ctrl,
[all …]

12345678910>>...34