Home
last modified time | relevance | path

Searched refs:shift_val (Results 1 – 25 of 339) sorted by relevance

12345678910>>...14

/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue522/
H A Dshifter.vhdl12 shift_val :in std_logic_vector (4 downto 0); port
26 …res1 <= din(30 downto 0) & '0' when shift_val(0)='1' and shift_lsl='1' …
27 …'0' & din(31 downto 1) when shift_val(0)='1' and (shift_lsr='1' or (shift_asr='1' and din(31…
30 …res2 <= res1(29 downto 0) & "00" when shift_val(1)='1' and shift_lsl='1' …
31 …"00" & res1(31 downto 2) when shift_val(1)='1' and (shift_lsr='1' or (shift_asr='1' and din(31…
34 …res3 <= res2(27 downto 0) & x"0" when shift_val(2)='1' and shift_lsl='1' …
39 …x"00" & res3(31 downto 8) when shift_val(3)='1' and (shift_lsr='1' or (shift_asr='1' and din(31…
46 …carryOut <= din(32-to_integer(unsigned(shift_val))) when shift_val /="00000" and shift_lsl='1' els…
47 …din(to_integer(unsigned(shift_val))-1) when shift_val /="00000" and (shift_lsr='1' or shift_asr='…
53 …to (32-to_integer(unsigned(shift_val)))) <= din((to_integer(unsigned(shift_val))-1) downto 0) when…
[all …]
H A Dshifter_tb.vhdl10 signal shift_val :std_logic_vector (4 downto 0); signal
19 …port map (shift_lsl, shift_lsr, shift_asr, shift_ror, shift_rrx, cin, shift_val, din, dout,cout, v…
25 shift_val <= "00000";
44 shift_val <= std_logic_vector(to_unsigned(shift,5 ));
53 …signed(dout))) & " || ASR shift = " & integer'image(to_integer(unsigned(shift_val))) severity erro…
65 shift_val <= "11111";
67 …1 downto (31-(to_integer(unsigned(shift_val)))))))) & " || ASR shift = " & integer'image(to_intege…
78 shift_val <= std_logic_vector(to_unsigned(shift, 5 ));
85 …signed(dout))) & " || LSR shift = " & integer'image(to_integer(unsigned(shift_val))) severity erro…
105 shift_val <= std_logic_vector(to_unsigned(shift,5));
[all …]
/dports/biology/py-biopython/biopython-1.79/Bio/codonalign/
H A D__init__.py343 if shift_val == 0:
346 if shift_val in (1, 2):
376 if shift_val != 0:
400 if shift_val == 0:
405 elif shift_val in (
461 if 0 < shift_val < 3 * anchor_len - 2:
480 shift_val = 3 * anchor_len - shift_val
494 shift_val,
531 if shift_val == 1:
551 elif shift_val == 2:
[all …]
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/clk/meson/
H A Dvid-pll-div.c27 unsigned int shift_val; member
35 .shift_val = (_val), \
61 static const struct vid_pll_div *_get_table_val(unsigned int shift_val, in _get_table_val() argument
67 if (vid_pll_div_table[i].shift_val == shift_val && in _get_table_val()
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/clk/meson/
H A Dvid-pll-div.c27 unsigned int shift_val; member
35 .shift_val = (_val), \
61 static const struct vid_pll_div *_get_table_val(unsigned int shift_val, in _get_table_val() argument
67 if (vid_pll_div_table[i].shift_val == shift_val && in _get_table_val()
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/clk/meson/
H A Dvid-pll-div.c27 unsigned int shift_val; member
35 .shift_val = (_val), \
61 static const struct vid_pll_div *_get_table_val(unsigned int shift_val, in _get_table_val() argument
67 if (vid_pll_div_table[i].shift_val == shift_val && in _get_table_val()
/dports/sysutils/u-boot-olinuxino-lime/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c120 shift_val = 0x0aaa; in meson_vid_pll_set()
124 shift_val = 0x5294; in meson_vid_pll_set()
128 shift_val = 0x0db6; in meson_vid_pll_set()
132 shift_val = 0x36cc; in meson_vid_pll_set()
136 shift_val = 0x6666; in meson_vid_pll_set()
140 shift_val = 0x0ccc; in meson_vid_pll_set()
144 shift_val = 0x739c; in meson_vid_pll_set()
148 shift_val = 0x0e38; in meson_vid_pll_set()
152 shift_val = 0x0000; in meson_vid_pll_set()
156 shift_val = 0x3c78; in meson_vid_pll_set()
[all …]
/dports/sysutils/u-boot-olinuxino-lime2/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c120 shift_val = 0x0aaa; in meson_vid_pll_set()
124 shift_val = 0x5294; in meson_vid_pll_set()
128 shift_val = 0x0db6; in meson_vid_pll_set()
132 shift_val = 0x36cc; in meson_vid_pll_set()
136 shift_val = 0x6666; in meson_vid_pll_set()
140 shift_val = 0x0ccc; in meson_vid_pll_set()
144 shift_val = 0x739c; in meson_vid_pll_set()
148 shift_val = 0x0e38; in meson_vid_pll_set()
152 shift_val = 0x0000; in meson_vid_pll_set()
156 shift_val = 0x3c78; in meson_vid_pll_set()
[all …]
/dports/sysutils/u-boot-wandboard/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c120 shift_val = 0x0aaa; in meson_vid_pll_set()
124 shift_val = 0x5294; in meson_vid_pll_set()
128 shift_val = 0x0db6; in meson_vid_pll_set()
132 shift_val = 0x36cc; in meson_vid_pll_set()
136 shift_val = 0x6666; in meson_vid_pll_set()
140 shift_val = 0x0ccc; in meson_vid_pll_set()
144 shift_val = 0x739c; in meson_vid_pll_set()
148 shift_val = 0x0e38; in meson_vid_pll_set()
152 shift_val = 0x0000; in meson_vid_pll_set()
156 shift_val = 0x3c78; in meson_vid_pll_set()
[all …]
/dports/sysutils/u-boot-chip/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c120 shift_val = 0x0aaa; in meson_vid_pll_set()
124 shift_val = 0x5294; in meson_vid_pll_set()
128 shift_val = 0x0db6; in meson_vid_pll_set()
132 shift_val = 0x36cc; in meson_vid_pll_set()
136 shift_val = 0x6666; in meson_vid_pll_set()
140 shift_val = 0x0ccc; in meson_vid_pll_set()
144 shift_val = 0x739c; in meson_vid_pll_set()
148 shift_val = 0x0e38; in meson_vid_pll_set()
152 shift_val = 0x0000; in meson_vid_pll_set()
156 shift_val = 0x3c78; in meson_vid_pll_set()
[all …]
/dports/sysutils/u-boot-cubieboard2/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c120 shift_val = 0x0aaa; in meson_vid_pll_set()
124 shift_val = 0x5294; in meson_vid_pll_set()
128 shift_val = 0x0db6; in meson_vid_pll_set()
132 shift_val = 0x36cc; in meson_vid_pll_set()
136 shift_val = 0x6666; in meson_vid_pll_set()
140 shift_val = 0x0ccc; in meson_vid_pll_set()
144 shift_val = 0x739c; in meson_vid_pll_set()
148 shift_val = 0x0e38; in meson_vid_pll_set()
152 shift_val = 0x0000; in meson_vid_pll_set()
156 shift_val = 0x3c78; in meson_vid_pll_set()
[all …]
/dports/sysutils/u-boot-firefly-rk3399/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c120 shift_val = 0x0aaa; in meson_vid_pll_set()
124 shift_val = 0x5294; in meson_vid_pll_set()
128 shift_val = 0x0db6; in meson_vid_pll_set()
132 shift_val = 0x36cc; in meson_vid_pll_set()
136 shift_val = 0x6666; in meson_vid_pll_set()
140 shift_val = 0x0ccc; in meson_vid_pll_set()
144 shift_val = 0x739c; in meson_vid_pll_set()
148 shift_val = 0x0e38; in meson_vid_pll_set()
152 shift_val = 0x0000; in meson_vid_pll_set()
156 shift_val = 0x3c78; in meson_vid_pll_set()
[all …]
/dports/sysutils/u-boot-cubox-hummingboard/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c120 shift_val = 0x0aaa; in meson_vid_pll_set()
124 shift_val = 0x5294; in meson_vid_pll_set()
128 shift_val = 0x0db6; in meson_vid_pll_set()
132 shift_val = 0x36cc; in meson_vid_pll_set()
136 shift_val = 0x6666; in meson_vid_pll_set()
140 shift_val = 0x0ccc; in meson_vid_pll_set()
144 shift_val = 0x739c; in meson_vid_pll_set()
148 shift_val = 0x0e38; in meson_vid_pll_set()
152 shift_val = 0x0000; in meson_vid_pll_set()
156 shift_val = 0x3c78; in meson_vid_pll_set()
[all …]
/dports/sysutils/u-boot-a13-olinuxino/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c120 shift_val = 0x0aaa; in meson_vid_pll_set()
124 shift_val = 0x5294; in meson_vid_pll_set()
128 shift_val = 0x0db6; in meson_vid_pll_set()
132 shift_val = 0x36cc; in meson_vid_pll_set()
136 shift_val = 0x6666; in meson_vid_pll_set()
140 shift_val = 0x0ccc; in meson_vid_pll_set()
144 shift_val = 0x739c; in meson_vid_pll_set()
148 shift_val = 0x0e38; in meson_vid_pll_set()
152 shift_val = 0x0000; in meson_vid_pll_set()
156 shift_val = 0x3c78; in meson_vid_pll_set()
[all …]
/dports/sysutils/u-boot-sinovoip-bpi-m3/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c120 shift_val = 0x0aaa; in meson_vid_pll_set()
124 shift_val = 0x5294; in meson_vid_pll_set()
128 shift_val = 0x0db6; in meson_vid_pll_set()
132 shift_val = 0x36cc; in meson_vid_pll_set()
136 shift_val = 0x6666; in meson_vid_pll_set()
140 shift_val = 0x0ccc; in meson_vid_pll_set()
144 shift_val = 0x739c; in meson_vid_pll_set()
148 shift_val = 0x0e38; in meson_vid_pll_set()
152 shift_val = 0x0000; in meson_vid_pll_set()
156 shift_val = 0x3c78; in meson_vid_pll_set()
[all …]
/dports/sysutils/u-boot-a64-olinuxino/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c120 shift_val = 0x0aaa; in meson_vid_pll_set()
124 shift_val = 0x5294; in meson_vid_pll_set()
128 shift_val = 0x0db6; in meson_vid_pll_set()
132 shift_val = 0x36cc; in meson_vid_pll_set()
136 shift_val = 0x6666; in meson_vid_pll_set()
140 shift_val = 0x0ccc; in meson_vid_pll_set()
144 shift_val = 0x739c; in meson_vid_pll_set()
148 shift_val = 0x0e38; in meson_vid_pll_set()
152 shift_val = 0x0000; in meson_vid_pll_set()
156 shift_val = 0x3c78; in meson_vid_pll_set()
[all …]
/dports/sysutils/u-boot-sopine/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c120 shift_val = 0x0aaa; in meson_vid_pll_set()
124 shift_val = 0x5294; in meson_vid_pll_set()
128 shift_val = 0x0db6; in meson_vid_pll_set()
132 shift_val = 0x36cc; in meson_vid_pll_set()
136 shift_val = 0x6666; in meson_vid_pll_set()
140 shift_val = 0x0ccc; in meson_vid_pll_set()
144 shift_val = 0x739c; in meson_vid_pll_set()
148 shift_val = 0x0e38; in meson_vid_pll_set()
152 shift_val = 0x0000; in meson_vid_pll_set()
156 shift_val = 0x3c78; in meson_vid_pll_set()
[all …]
/dports/sysutils/u-boot-rpi/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c120 shift_val = 0x0aaa; in meson_vid_pll_set()
124 shift_val = 0x5294; in meson_vid_pll_set()
128 shift_val = 0x0db6; in meson_vid_pll_set()
132 shift_val = 0x36cc; in meson_vid_pll_set()
136 shift_val = 0x6666; in meson_vid_pll_set()
140 shift_val = 0x0ccc; in meson_vid_pll_set()
144 shift_val = 0x739c; in meson_vid_pll_set()
148 shift_val = 0x0e38; in meson_vid_pll_set()
152 shift_val = 0x0000; in meson_vid_pll_set()
156 shift_val = 0x3c78; in meson_vid_pll_set()
[all …]
/dports/sysutils/u-boot-qemu-arm64/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c120 shift_val = 0x0aaa; in meson_vid_pll_set()
124 shift_val = 0x5294; in meson_vid_pll_set()
128 shift_val = 0x0db6; in meson_vid_pll_set()
132 shift_val = 0x36cc; in meson_vid_pll_set()
136 shift_val = 0x6666; in meson_vid_pll_set()
140 shift_val = 0x0ccc; in meson_vid_pll_set()
144 shift_val = 0x739c; in meson_vid_pll_set()
148 shift_val = 0x0e38; in meson_vid_pll_set()
152 shift_val = 0x0000; in meson_vid_pll_set()
156 shift_val = 0x3c78; in meson_vid_pll_set()
[all …]
/dports/sysutils/u-boot-nanopi-neo2/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c120 shift_val = 0x0aaa; in meson_vid_pll_set()
124 shift_val = 0x5294; in meson_vid_pll_set()
128 shift_val = 0x0db6; in meson_vid_pll_set()
132 shift_val = 0x36cc; in meson_vid_pll_set()
136 shift_val = 0x6666; in meson_vid_pll_set()
140 shift_val = 0x0ccc; in meson_vid_pll_set()
144 shift_val = 0x739c; in meson_vid_pll_set()
148 shift_val = 0x0e38; in meson_vid_pll_set()
152 shift_val = 0x0000; in meson_vid_pll_set()
156 shift_val = 0x3c78; in meson_vid_pll_set()
[all …]
/dports/sysutils/u-boot-olimex-a20-som-evb/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c120 shift_val = 0x0aaa; in meson_vid_pll_set()
124 shift_val = 0x5294; in meson_vid_pll_set()
128 shift_val = 0x0db6; in meson_vid_pll_set()
132 shift_val = 0x36cc; in meson_vid_pll_set()
136 shift_val = 0x6666; in meson_vid_pll_set()
140 shift_val = 0x0ccc; in meson_vid_pll_set()
144 shift_val = 0x739c; in meson_vid_pll_set()
148 shift_val = 0x0e38; in meson_vid_pll_set()
152 shift_val = 0x0000; in meson_vid_pll_set()
156 shift_val = 0x3c78; in meson_vid_pll_set()
[all …]
/dports/sysutils/u-boot-nanopi-m1plus/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c120 shift_val = 0x0aaa; in meson_vid_pll_set()
124 shift_val = 0x5294; in meson_vid_pll_set()
128 shift_val = 0x0db6; in meson_vid_pll_set()
132 shift_val = 0x36cc; in meson_vid_pll_set()
136 shift_val = 0x6666; in meson_vid_pll_set()
140 shift_val = 0x0ccc; in meson_vid_pll_set()
144 shift_val = 0x739c; in meson_vid_pll_set()
148 shift_val = 0x0e38; in meson_vid_pll_set()
152 shift_val = 0x0000; in meson_vid_pll_set()
156 shift_val = 0x3c78; in meson_vid_pll_set()
[all …]
/dports/sysutils/u-boot-nanopi-r4s/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c120 shift_val = 0x0aaa; in meson_vid_pll_set()
124 shift_val = 0x5294; in meson_vid_pll_set()
128 shift_val = 0x0db6; in meson_vid_pll_set()
132 shift_val = 0x36cc; in meson_vid_pll_set()
136 shift_val = 0x6666; in meson_vid_pll_set()
140 shift_val = 0x0ccc; in meson_vid_pll_set()
144 shift_val = 0x739c; in meson_vid_pll_set()
148 shift_val = 0x0e38; in meson_vid_pll_set()
152 shift_val = 0x0000; in meson_vid_pll_set()
156 shift_val = 0x3c78; in meson_vid_pll_set()
[all …]
/dports/sysutils/u-boot-clearfog/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c120 shift_val = 0x0aaa; in meson_vid_pll_set()
124 shift_val = 0x5294; in meson_vid_pll_set()
128 shift_val = 0x0db6; in meson_vid_pll_set()
132 shift_val = 0x36cc; in meson_vid_pll_set()
136 shift_val = 0x6666; in meson_vid_pll_set()
140 shift_val = 0x0ccc; in meson_vid_pll_set()
144 shift_val = 0x739c; in meson_vid_pll_set()
148 shift_val = 0x0e38; in meson_vid_pll_set()
152 shift_val = 0x0000; in meson_vid_pll_set()
156 shift_val = 0x3c78; in meson_vid_pll_set()
[all …]
/dports/sysutils/u-boot-cubieboard/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c120 shift_val = 0x0aaa; in meson_vid_pll_set()
124 shift_val = 0x5294; in meson_vid_pll_set()
128 shift_val = 0x0db6; in meson_vid_pll_set()
132 shift_val = 0x36cc; in meson_vid_pll_set()
136 shift_val = 0x6666; in meson_vid_pll_set()
140 shift_val = 0x0ccc; in meson_vid_pll_set()
144 shift_val = 0x739c; in meson_vid_pll_set()
148 shift_val = 0x0e38; in meson_vid_pll_set()
152 shift_val = 0x0000; in meson_vid_pll_set()
156 shift_val = 0x3c78; in meson_vid_pll_set()
[all …]

12345678910>>...14