Home
last modified time | relevance | path

Searched refs:strobe_cic (Results 1 – 6 of 6) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/dsp/
H A Dduc_chain.v58 reg strobe_cic = 1; register
76 always @(posedge clk) strobe_cic <= strobe_cic_pre;
142 .stb_out(strobe_cic),
157 .stb_out(strobe_cic),
170 .output_rate(interp_rate),.stb_out(strobe_cic),.data_out(hb2_i));
173 .output_rate(interp_rate),.stb_out(strobe_cic),.data_out(hb2_q));
180 .strobe_in(strobe_cic),.strobe_out(1'd1),
185 .strobe_in(strobe_cic),.strobe_out(1'd1),
242 assign debug = {strobe_cic, strobe_hb1, strobe_hb2,run};
H A Dddc_chain.v46 wire strobe_cic, strobe_hb1, strobe_hb2; net
121 .strobe_fast(1'b1),.strobe_slow(strobe_cic) );
125 .rate(cic_decim_rate),.strobe_in(1'b1),.strobe_out(strobe_cic),
130 .rate(cic_decim_rate),.strobe_in(1'b1),.strobe_out(strobe_cic),
164 assign nd1 = strobe_cic;
211 strobe_unscaled <= strobe_cic;
218 strobe_unscaled <= strobe_cic;
302 .stb_in(strobe_cic),.data_in(i_cic),.stb_out(strobe_hb1),.data_out(i_hb1));
306 .stb_in(strobe_cic),.data_in(q_cic),.stb_out(),.data_out(q_hb1));
373 assign debug = {enable_hb1, enable_hb2, run, strobe, strobe_cic, strobe_hb1, strobe_hb2};
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/sdr_lib/
H A Dduc_chain.v64 reg strobe_cic = 1; register
80 always @(posedge clk) strobe_cic <= strobe_cic_pre;
111 .output_rate(interp_rate),.stb_out(strobe_cic),.data_out(hb2_i));
114 .output_rate(interp_rate),.stb_out(strobe_cic),.data_out(hb2_q));
118 .strobe_in(strobe_cic),.strobe_out(1),
123 .strobe_in(strobe_cic),.strobe_out(1),
163 assign debug = {strobe_cic, strobe_hb1, strobe_hb2,run};
H A Dddc_chain.v55 wire strobe_cic, strobe_hb1, strobe_hb2; net
121 .strobe_fast(1),.strobe_slow(strobe_cic) );
125 .rate(cic_decim_rate),.strobe_in(1'b1),.strobe_out(strobe_cic),
130 .rate(cic_decim_rate),.strobe_in(1'b1),.strobe_out(strobe_cic),
136 .stb_in(strobe_cic),.data_in(i_cic),.stb_out(strobe_hb1),.data_out(i_hb1));
140 .stb_in(strobe_cic),.data_in(q_cic),.stb_out(),.data_out(q_hb1));
192 assign debug = {enable_hb1, enable_hb2, run, strobe, strobe_cic, strobe_hb1, strobe_hb2};
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/
H A Dddc.v82 wire strobe_cic, strobe_hb1, strobe_hb2, strobe_hb3; net
285 …stb(rate_changed_stb), .rate(cic_decim_rate), .strobe_in(strobe_dds_clip), .strobe_out(strobe_cic),
329 if (strobe_cic & rfd1) begin
454 assign nd1 = strobe_cic | hb1_en;
542 strobe_unscaled <= strobe_cic;
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/sim/dsp/ddc_chain_x300/dctest/
H A DDDC.sav23 ddc_chain_x300_tb.ddc_chain.strobe_cic