Home
last modified time | relevance | path

Searched refs:strobe_hb1 (Results 1 – 6 of 6) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/dsp/
H A Dduc_chain.v59 reg strobe_hb1 = 1; register
62 assign strobe = strobe_hb1;
74 always @(posedge clk) strobe_hb1 <= strobe_hb1_pre;
108 .stb_in(strobe_hb1),
123 .stb_in(strobe_hb1),
164 …(.clk(clk),.rst(rst),.bypass(~enable_hb1),.cpo(cpo),.stb_in(strobe_hb1),.data_in({sample[31:16], 2…
166 …(.clk(clk),.rst(rst),.bypass(~enable_hb1),.cpo(cpo),.stb_in(strobe_hb1),.data_in({sample[15:0], 2'…
242 assign debug = {strobe_cic, strobe_hb1, strobe_hb2,run};
H A Dddc_chain.v46 wire strobe_cic, strobe_hb1, strobe_hb2; net
161 assign strobe_hb1 = data_valid1;
165 assign nd2 = strobe_hb1;
225 strobe_unscaled <= strobe_hb1;
302 .stb_in(strobe_cic),.data_in(i_cic),.stb_out(strobe_hb1),.data_out(i_hb1));
312 .stb_in(strobe_hb1),.data_in(i_hb1),.stb_out(strobe_hb2),.data_out(i_hb2));
316 .stb_in(strobe_hb1),.data_in(q_hb1),.stb_out(),.data_out(q_hb2));
373 assign debug = {enable_hb1, enable_hb2, run, strobe, strobe_cic, strobe_hb1, strobe_hb2};
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/sdr_lib/
H A Dduc_chain.v65 reg strobe_hb1 = 1; register
78 always @(posedge clk) strobe_hb1 <= strobe_hb1_pre;
105 …(.clk(clk),.rst(rst),.bypass(~enable_hb1),.cpo(cpo),.stb_in(strobe_hb1),.data_in({bb_i, 2'b0}),.st…
107 …(.clk(clk),.rst(rst),.bypass(~enable_hb1),.cpo(cpo),.stb_in(strobe_hb1),.data_in({bb_q, 2'b0}),.st…
160 .duc_in_sample({bb_i, bb_q}), .duc_in_strobe(strobe_hb1), .duc_in_enable(duc_enb),
163 assign debug = {strobe_cic, strobe_hb1, strobe_hb2,run};
H A Dddc_chain.v55 wire strobe_cic, strobe_hb1, strobe_hb2; net
136 .stb_in(strobe_cic),.data_in(i_cic),.stb_out(strobe_hb1),.data_out(i_hb1));
146 .stb_in(strobe_hb1),.data_in(i_hb1),.stb_out(strobe_hb2),.data_out(i_hb2));
150 .stb_in(strobe_hb1),.data_in(q_hb1),.stb_out(),.data_out(q_hb2));
192 assign debug = {enable_hb1, enable_hb2, run, strobe, strobe_cic, strobe_hb1, strobe_hb2};
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/
H A Dddc.v82 wire strobe_cic, strobe_hb1, strobe_hb2, strobe_hb3; net
336 if (strobe_hb1) begin
344 end else if (last_hb1 & strobe_hb1 & rfd2) begin
348 if (strobe_hb1 & rfd2) begin
451 assign strobe_hb1 = data_valid1 & hb1_rdy;
455 assign nd2 = strobe_hb1 | hb2_en;
548 strobe_unscaled <= strobe_hb1;
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/sim/dsp/ddc_chain_x300/dctest/
H A DDDC.sav27 ddc_chain_x300_tb.ddc_chain.strobe_hb1