/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/common/ |
H A D | gc_sync_ffs.vhd | 63 signal sync0, sync1, sync2 : std_logic; signal 88 sync1 <= '0'; 95 sync1 <= sync0; 96 sync2 <= sync1; 97 synced_o <= sync1; 98 npulse_o <= sync2 and not sync1; 99 ppulse_o <= not sync2 and sync1; 109 sync1 <= '0'; 116 sync1 <= sync0; 117 sync2 <= sync1; [all …]
|
H A D | gc_sync_register.vhd | 55 signal sync0, sync1 : std_logic_vector(g_width-1 downto 0); signal 60 attribute shreg_extract of sync1 : signal is "no"; 65 attribute keep of sync1 : signal is "true"; 70 attribute async_reg of sync1 : signal is "true"; 77 sync1 <= (others => '0'); 81 sync1 <= sync0; 86 q_o <= sync1;
|
/dports/net/py-eventlet/eventlet-0.33.0/tests/isolated/ |
H A D | patcher_existing_locks_locked.py | 4 def take(lock, sync1, sync2): argument 6 sync1.release() 26 sync1 = threading.Lock() variable 28 sync1.acquire() 29 eventlet.spawn(take, lock, sync1, sync2) 31 with sync1:
|
/dports/comms/wsjtz/wsjtx/map65/libm65/ |
H A D | map65a.f90 | 161 if(dt.lt.-2.6 .or. dt.gt.2.5) sync1=-99.0 !### 229 if(newdat.eq.1 .and. sync1.gt.-99.0) then 230 sync1=thresh1+1.0 238 if(freq-freq0.gt.ftol .or. sync1.gt.sync10) then 262 if(mode65.ne.0 .and. sync1.ne.2.000000) then 267 sig(km,4)=sync1 284 sync10=sync1 300 sync1=sig(k,4) 319 nsync1=sync1 452 sync1=sig(i,4) [all …]
|
/dports/games/flightgear/flightgear-2020.3.11/utils/GPSsmooth/ |
H A D | MIDG-II.cxx | 434 uint8_t sync0, sync1; in next_message() local 436 myread( ch, log, tmpbuf, 1 ); sync1 = (unsigned char)tmpbuf[0]; in next_message() 437 while ( (sync0 != 129 || sync1 != 161) && !myeof ) { in next_message() 438 sync0 = sync1; in next_message() 439 myread( ch, log, tmpbuf, 1 ); sync1 = (unsigned char)tmpbuf[0]; in next_message() 497 uint8_t sync0, sync1; in next_message() local 500 sync1 = (unsigned char)tmpbuf[1]; in next_message() 501 while ( (sync0 != 129 || sync1 != 161) && !myeof ) { in next_message() 502 sync0 = sync1; in next_message() 503 serial_read( serial, tmpbuf, 1 ); sync1 = (unsigned char)tmpbuf[0]; in next_message() [all …]
|
/dports/emulators/lisaem/lisaem-1.2.6.2/include/ |
H A D | z8530_structs.h | 227 sync1:1, member 242 sync1:1, 252 sync1:1, member 267 sync1:1, 276 sync1:1, member 291 sync1:1, 299 sync1:1, 308 sync1:1, 364 sync1:1, member 379 sync1:1, [all …]
|
/dports/comms/wsjtz/wsjtx/lib/ |
H A D | jt65_decode.f90 | 201 sync1=ca(icand)%sync 208 if(sync1.lt.float(minsync)) nflip=0 229 if(nspecial.eq.0 .and. sync1.eq.5.0 .and. dtx.eq.2.5) cycle 233 if(sync1.lt.float(minsync) .and. & 245 xtmp=10**((sync1+16.0)/10.0) ! sync comes to us in dB 258 sync1.ge.float(minsync) .and. (.not.prtavg)) then 266 call avg65(nutc,nsave,sync1,dtx,nflip,nfreq,mode65,ntol, & 275 call this%callback(sync1,nsnr,dtx-1.0,nfreq,ndrift, & 316 if(ndupe.ne.1 .and. ((sync1.ge.float(minsync)) .or. bVHF)) then 327 call this%callback(sync1,nsnr,dtx-1.0,nfreq,ndrift, &
|
/dports/lang/fpc-source/fpc-3.2.2/tests/tbs/ |
H A D | tb0636.pp | 34 sync1, sync2: LongInt; 40 Inc(sync1); 85 sync1 := 0; 127 if (sync1 <> 1) or (sync2 <> 1) then
|
/dports/lang/gcc6-aux/gcc-6-20180516/gcc/testsuite/gnat.dg/ |
H A D | sync1.adb | 2 package body sync1 is package 15 end sync1;
|
H A D | sync1.ads | 1 package sync1 is packspec 12 end sync1;
|
/dports/lang/gcc12-devel/gcc-12-20211205/gcc/testsuite/gnat.dg/ |
H A D | sync1.adb | 2 package body sync1 is package 15 end sync1;
|
H A D | sync1.ads | 1 package sync1 is packspec 12 end sync1;
|
/dports/lang/gcc8/gcc-8.5.0/gcc/testsuite/gnat.dg/ |
H A D | sync1.adb | 2 package body sync1 is package 15 end sync1;
|
H A D | sync1.ads | 1 package sync1 is packspec 12 end sync1;
|
/dports/lang/gcc10/gcc-10.3.0/gcc/testsuite/gnat.dg/ |
H A D | sync1.adb | 2 package body sync1 is package 15 end sync1;
|
H A D | sync1.ads | 1 package sync1 is packspec 12 end sync1;
|
/dports/devel/riscv64-none-elf-gcc/gcc-8.4.0/gcc/testsuite/gnat.dg/ |
H A D | sync1.ads | 1 package sync1 is packspec 12 end sync1;
|
H A D | sync1.adb | 2 package body sync1 is package 15 end sync1;
|
/dports/lang/gcc11-devel/gcc-11-20211009/gcc/testsuite/gnat.dg/ |
H A D | sync1.adb | 2 package body sync1 is package 15 end sync1;
|
H A D | sync1.ads | 1 package sync1 is packspec 12 end sync1;
|
/dports/devel/arm-none-eabi-gcc/gcc-8.4.0/gcc/testsuite/gnat.dg/ |
H A D | sync1.ads | 1 package sync1 is packspec 12 end sync1;
|
H A D | sync1.adb | 2 package body sync1 is package 15 end sync1;
|
/dports/lang/gcc9-devel/gcc-9-20211007/gcc/testsuite/gnat.dg/ |
H A D | sync1.ads | 1 package sync1 is packspec 12 end sync1;
|
/dports/devel/riscv32-unknown-elf-gcc/gcc-8.4.0/gcc/testsuite/gnat.dg/ |
H A D | sync1.ads | 1 package sync1 is packspec 12 end sync1;
|
H A D | sync1.adb | 2 package body sync1 is package 15 end sync1;
|