Home
last modified time | relevance | path

Searched refs:trans_type (Results 1 – 25 of 383) sorted by relevance

12345678910>>...16

/dports/finance/frontaccounting/frontaccounting/sales/inquiry/
H A Dsales_orders_view.php29 $trans_type = $_POST['type']; variable
31 $trans_type = ST_SALESQUOTE; variable
33 $trans_type = ST_SALESORDER; variable
101 global $trans_type;
112 global $trans_type;
118 global $trans_type;
126 global $trans_type;
134 global $trans_type;
145 global $trans_type;
167 global $trans_type;
[all …]
/dports/finance/frontaccounting/frontaccounting/purchasing/
H A Dpo_entry_items.php20 set_page_security( @$_SESSION['PO']->trans_type,
74 $trans_type = ST_PURCHORDER; variable
97 $trans_type = ST_SUPPRECEIVE; variable
113 "filterType=$trans_type&trans_no=$trans_no");
122 $trans_type = ST_SUPPINVOICE; variable
417 if ($cart->trans_type != ST_PURCHORDER) {
425 if ($cart->trans_type != ST_PURCHORDER) {
433 if ($cart->trans_type == ST_PURCHORDER) {
438 if ($cart->trans_type == ST_SUPPRECEIVE)
440 if ($cart->trans_type != ST_SUPPINVOICE)
[all …]
/dports/finance/frontaccounting/frontaccounting/gl/
H A Dgl_bank.php16 @($_SESSION['pay_items']->trans_type==ST_BANKPAYMENT)
72 $trans_type = ST_BANKPAYMENT; variable
90 $trans_type = ST_BANKPAYMENT; variable
106 $trans_type = ST_BANKDEPOSIT; variable
121 $trans_type = ST_BANKDEPOSIT; variable
197 $cart->reference = $Refs->get_next($cart->trans_type);
306 $trans_type = $trans[0]; variable
316 meta_forward($_SERVER['PHP_SELF'], $trans_type==ST_BANKPAYMENT ?
319 meta_forward($_SERVER['PHP_SELF'], $trans_type==ST_BANKPAYMENT ?
394 $_SESSION['pay_items']->trans_type==ST_BANKPAYMENT ? QE_PAYMENT : QE_DEPOSIT);
[all …]
/dports/finance/frontaccounting/frontaccounting/sales/includes/db/
H A Dsales_order_db.inc88 hook_db_prevoid($trans_type, $order_no);
91 . " AND trans_type=".db_escape($trans_type);
96 .db_escape($order_no) . " AND trans_type=".db_escape($trans_type);
151 AND trans_type=".$order->trans_type." AND version=".$version;
242 AND sorder.trans_type = " . db_escape($trans_type) ."
277 ." AND trans_type = " . db_escape($trans_type) . " ORDER BY id";
288 $order->trans_type = $myrow['trans_type'];
335 ." AND trans_type=".ST_SALESORDER;
355 ." AND trans_type=".ST_SALESORDER;
457 AND sorder.trans_type = line.trans_type
[all …]
/dports/finance/frontaccounting/frontaccounting/gl/includes/db/
H A Dgl_db_trans.inc353 …if ($trans_type == ST_SALESINVOICE || $trans_type == ST_CUSTDELIVERY || $trans_type == ST_CUSTCRED…
401 WHERE trans_type = ".db_escape($trans_type)."
437 SUM(IF(trans_type=".ST_CUSTCREDIT." || trans_type=".ST_SUPPINVOICE
439 IF(trans_type=".ST_BANKDEPOSIT." || trans_type=".ST_SALESINVOICE
443 SUM(IF(trans_type=".ST_CUSTCREDIT." || trans_type=".ST_SUPPINVOICE
445 IF(trans_type=".ST_BANKDEPOSIT." || trans_type=".ST_SALESINVOICE
449 …SUM(IF(trans_type=".ST_CUSTCREDIT." || trans_type=".ST_SUPPINVOICE." || trans_type=".ST_JOURNAL.",…
450 IF(trans_type=".ST_BANKDEPOSIT." || trans_type=".ST_SALESINVOICE
454 …SUM(IF(trans_type=".ST_CUSTCREDIT." || trans_type=".ST_SUPPINVOICE." || trans_type=".ST_JOURNAL.",…
455 IF(trans_type=".ST_BANKDEPOSIT." || trans_type=".ST_SALESINVOICE
[all …]
H A Dgl_db_banking.inc205 $trans_type = ST_BANKTRANSFER;
209 $trans_no = get_next_trans_no($trans_type);
278 $Refs->save($trans_type, $trans_no, $ref);
307 if ($trans_type != ST_BANKPAYMENT && $trans_type != ST_BANKDEPOSIT)
319 hook_db_prewrite($args, $trans_type);
323 $Refs->restore_last($trans_type, $trans_no);
342 if ($trans_type == ST_BANKPAYMENT)
358 if ($trans_type == ST_BANKPAYMENT)
439 $Refs->save($trans_type, $trans_no, $ref);
448 hook_db_postwrite($args, $trans_type);
[all …]
/dports/audio/faust/faust-2.37.3/tools/physicalModeling/mesh2faust/vega/libraries/glui/glui-2.35/src/
H A Dglui_translation.cpp52 trans_type = trans_t; in GLUI_Translation()
54 if ( trans_type == GLUI_TRANSLATION_XY ) { in GLUI_Translation()
57 else if ( trans_type == GLUI_TRANSLATION_X ) { in GLUI_Translation()
60 else if ( trans_type == GLUI_TRANSLATION_Y ) { in GLUI_Translation()
63 else if ( trans_type == GLUI_TRANSLATION_Z ) { in GLUI_Translation()
80 if ( trans_type == GLUI_TRANSLATION_XY ) { in iaction_mouse_down_handler()
159 if ( trans_type == GLUI_TRANSLATION_XY ) { in iaction_mouse_held_down_handler()
208 if ( trans_type == GLUI_TRANSLATION_Z ) in iaction_draw_active_area_ortho()
212 else if ( trans_type == GLUI_TRANSLATION_X ) in iaction_draw_active_area_ortho()
400 if ( trans_type == GLUI_TRANSLATION_Z ) in draw_2d_arrow()
[all …]
/dports/devel/glui/glui-2.36/src/
H A Dglui_translation.cpp54 trans_type = trans_t;
56 if ( trans_type == GLUI_TRANSLATION_XY ) {
59 else if ( trans_type == GLUI_TRANSLATION_X ) {
62 else if ( trans_type == GLUI_TRANSLATION_Y ) {
65 else if ( trans_type == GLUI_TRANSLATION_Z ) {
82 if ( trans_type == GLUI_TRANSLATION_XY ) {
161 if ( trans_type == GLUI_TRANSLATION_XY ) {
210 if ( trans_type == GLUI_TRANSLATION_Z )
214 else if ( trans_type == GLUI_TRANSLATION_X )
402 if ( trans_type == GLUI_TRANSLATION_Z )
[all …]
/dports/devel/emscripten/emscripten-2.0.3/tests/third_party/bullet/Extras/glui/
H A Dglui_translation.cpp52 trans_type = trans_t; in GLUI_Translation()
54 if ( trans_type == GLUI_TRANSLATION_XY ) { in GLUI_Translation()
57 else if ( trans_type == GLUI_TRANSLATION_X ) { in GLUI_Translation()
60 else if ( trans_type == GLUI_TRANSLATION_Y ) { in GLUI_Translation()
63 else if ( trans_type == GLUI_TRANSLATION_Z ) { in GLUI_Translation()
80 if ( trans_type == GLUI_TRANSLATION_XY ) { in iaction_mouse_down_handler()
159 if ( trans_type == GLUI_TRANSLATION_XY ) { in iaction_mouse_held_down_handler()
208 if ( trans_type == GLUI_TRANSLATION_Z ) in iaction_draw_active_area_ortho()
212 else if ( trans_type == GLUI_TRANSLATION_X ) in iaction_draw_active_area_ortho()
400 if ( trans_type == GLUI_TRANSLATION_Z ) in draw_2d_arrow()
[all …]
/dports/devel/emscripten/emscripten-2.0.3/tests/third_party/box2d/glui/
H A Dglui_translation.cpp52 trans_type = trans_t; in GLUI_Translation()
54 if ( trans_type == GLUI_TRANSLATION_XY ) { in GLUI_Translation()
57 else if ( trans_type == GLUI_TRANSLATION_X ) { in GLUI_Translation()
60 else if ( trans_type == GLUI_TRANSLATION_Y ) { in GLUI_Translation()
63 else if ( trans_type == GLUI_TRANSLATION_Z ) { in GLUI_Translation()
80 if ( trans_type == GLUI_TRANSLATION_XY ) { in iaction_mouse_down_handler()
159 if ( trans_type == GLUI_TRANSLATION_XY ) { in iaction_mouse_held_down_handler()
208 if ( trans_type == GLUI_TRANSLATION_Z ) in iaction_draw_active_area_ortho()
212 else if ( trans_type == GLUI_TRANSLATION_X ) in iaction_draw_active_area_ortho()
400 if ( trans_type == GLUI_TRANSLATION_Z ) in draw_2d_arrow()
[all …]
/dports/finance/frontaccounting/frontaccounting/sales/
H A Dsales_order_entry.php31 set_page_security( @$_SESSION['Items']->trans_type,
286 if ($cart->trans_type!=ST_SALESORDER && $cart->trans_type!=ST_SALESQUOTE) { // 2008-11-12 Joe Hunt
318 if ($cart->trans_type!=ST_SALESORDER && $cart->trans_type!=ST_SALESQUOTE) { // 2008-11-12 Joe Hunt
399 if ($_SESSION['Items']->trans_type==ST_SALESQUOTE)
470 $trans_type = $_SESSION['Items']->trans_type; variable
474 if ($trans_type == ST_SALESQUOTE)
478 } elseif ($trans_type == ST_SALESORDER) {
480 } elseif ($trans_type == ST_SALESQUOTE) {
482 } elseif ($trans_type == ST_SALESINVOICE) {
642 $doc->trans_type = $type;
[all …]
H A Dcustomer_credit_invoice.php51 $trans_type = ST_CUSTCREDIT; variable
55 …display_note(get_customer_trans_view_str($trans_type, $credit_no, _("&View This Credit Note")), 0,…
57 …splay_note(print_document_link($credit_no."-".$trans_type, _("&Print This Credit Note"), true, $tr…
58 …splay_note(print_document_link($credit_no."-".$trans_type, _("&Email This Credit Note"), true, $tr…
60 …display_note(get_gl_view_str($trans_type, $credit_no, _("View the GL &Journal Entries for this Cre…
62 …h_to_root/admin/attachments.php", _("Add an Attachment"), "filterType=$trans_type&trans_no=$credit…
68 $trans_type = ST_CUSTCREDIT; variable
72 …display_note(get_customer_trans_view_str($trans_type, $credit_no, _("&View This Credit Note")), 0,…
74 …splay_note(print_document_link($credit_no."-".$trans_type, _("&Print This Credit Note"), true, $tr…
75 …splay_note(print_document_link($credit_no."-".$trans_type, _("&Email This Credit Note"), true, $tr…
[all …]
/dports/graphics/agg/agg-2.5/include/
H A Dagg_span_interpolator_linear.h40 typedef Transformer trans_type; typedef
51 span_interpolator_linear(const trans_type& trans, in span_interpolator_linear()
59 const trans_type& transformer() const { return *m_trans; } in transformer()
60 void transformer(const trans_type& trans) { m_trans = &trans; } in transformer()
107 const trans_type* m_trans;
122 typedef Transformer trans_type; typedef
137 span_interpolator_linear_subdiv(const trans_type& trans,
144 span_interpolator_linear_subdiv(const trans_type& trans,
156 const trans_type& transformer() const { return *m_trans; } in transformer()
157 void transformer(const trans_type& trans) { m_trans = &trans; } in transformer()
[all …]
H A Dagg_span_interpolator_trans.h42 typedef Transformer trans_type; typedef
51 span_interpolator_trans(const trans_type& trans) : m_trans(&trans) {} in span_interpolator_trans()
52 span_interpolator_trans(const trans_type& trans, in span_interpolator_trans()
60 const trans_type& transformer() const { return *m_trans; } in transformer()
61 void transformer(const trans_type& trans) { m_trans = &trans; } in transformer()
92 const trans_type* m_trans;
/dports/math/py-matplotlib2/matplotlib-2.2.4/extern/agg24-svn/include/
H A Dagg_span_interpolator_linear.h31 typedef Transformer trans_type; typedef
41 span_interpolator_linear(trans_type& trans) : m_trans(&trans) {} in span_interpolator_linear()
42 span_interpolator_linear(trans_type& trans, in span_interpolator_linear()
50 const trans_type& transformer() const { return *m_trans; } in transformer()
51 void transformer(trans_type& trans) { m_trans = &trans; } in transformer()
98 trans_type* m_trans;
113 typedef Transformer trans_type; typedef
128 span_interpolator_linear_subdiv(trans_type& trans,
135 span_interpolator_linear_subdiv(trans_type& trans,
147 const trans_type& transformer() const { return *m_trans; } in transformer()
[all …]
H A Dagg_span_interpolator_trans.h33 typedef Transformer trans_type; typedef
42 span_interpolator_trans(trans_type& trans) : m_trans(&trans) {} in span_interpolator_trans()
43 span_interpolator_trans(trans_type& trans, in span_interpolator_trans()
51 const trans_type& transformer() const { return *m_trans; } in transformer()
52 void transformer(const trans_type& trans) { m_trans = &trans; } in transformer()
83 trans_type* m_trans;
/dports/math/py-matplotlib/matplotlib-3.4.3/extern/agg24-svn/include/
H A Dagg_span_interpolator_linear.h31 typedef Transformer trans_type; typedef
41 span_interpolator_linear(trans_type& trans) : m_trans(&trans) {} in span_interpolator_linear()
42 span_interpolator_linear(trans_type& trans, in span_interpolator_linear()
50 const trans_type& transformer() const { return *m_trans; } in transformer()
51 void transformer(trans_type& trans) { m_trans = &trans; } in transformer()
98 trans_type* m_trans;
113 typedef Transformer trans_type; typedef
128 span_interpolator_linear_subdiv(trans_type& trans,
135 span_interpolator_linear_subdiv(trans_type& trans,
147 const trans_type& transformer() const { return *m_trans; } in transformer()
[all …]
H A Dagg_span_interpolator_trans.h33 typedef Transformer trans_type; typedef
42 span_interpolator_trans(trans_type& trans) : m_trans(&trans) {} in span_interpolator_trans()
43 span_interpolator_trans(trans_type& trans, in span_interpolator_trans()
51 const trans_type& transformer() const { return *m_trans; } in transformer()
52 void transformer(const trans_type& trans) { m_trans = &trans; } in transformer()
83 trans_type* m_trans;
/dports/graphics/mapserver/mapserver-7.6.4/renderers/agg/include/
H A Dagg_span_interpolator_linear.h31 typedef Transformer trans_type; typedef
42 span_interpolator_linear(const trans_type& trans, in span_interpolator_linear()
50 const trans_type& transformer() const { return *m_trans; } in transformer()
51 void transformer(const trans_type& trans) { m_trans = &trans; } in transformer()
98 const trans_type* m_trans;
113 typedef Transformer trans_type; typedef
128 span_interpolator_linear_subdiv(const trans_type& trans,
135 span_interpolator_linear_subdiv(const trans_type& trans,
147 const trans_type& transformer() const { return *m_trans; } in transformer()
148 void transformer(const trans_type& trans) { m_trans = &trans; } in transformer()
[all …]
H A Dagg_span_interpolator_trans.h33 typedef Transformer trans_type; typedef
42 span_interpolator_trans(const trans_type& trans) : m_trans(&trans) {} in span_interpolator_trans()
43 span_interpolator_trans(const trans_type& trans, in span_interpolator_trans()
51 const trans_type& transformer() const { return *m_trans; } in transformer()
52 void transformer(const trans_type& trans) { m_trans = &trans; } in transformer()
83 const trans_type* m_trans;
/dports/sysutils/fluxengine/fluxengine-61ff48c/dep/agg/include/
H A Dagg_span_interpolator_linear.h31 typedef Transformer trans_type; typedef
41 span_interpolator_linear(trans_type& trans) : m_trans(&trans) {} in span_interpolator_linear()
42 span_interpolator_linear(trans_type& trans, in span_interpolator_linear()
50 const trans_type& transformer() const { return *m_trans; } in transformer()
51 void transformer(trans_type& trans) { m_trans = &trans; } in transformer()
98 trans_type* m_trans;
113 typedef Transformer trans_type; typedef
128 span_interpolator_linear_subdiv(trans_type& trans,
135 span_interpolator_linear_subdiv(trans_type& trans,
147 const trans_type& transformer() const { return *m_trans; } in transformer()
[all …]
H A Dagg_span_interpolator_trans.h33 typedef Transformer trans_type; typedef
42 span_interpolator_trans(trans_type& trans) : m_trans(&trans) {} in span_interpolator_trans()
43 span_interpolator_trans(trans_type& trans, in span_interpolator_trans()
51 const trans_type& transformer() const { return *m_trans; } in transformer()
52 void transformer(const trans_type& trans) { m_trans = &trans; } in transformer()
83 trans_type* m_trans;
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/infiniband/hw/usnic/
H A Dusnic_ib_qp_grp.c215 trans_type = trans_spec->trans_type; in create_roce_custom_flow()
242 qp_flow->trans_type = trans_type; in create_roce_custom_flow()
278 trans_type = trans_spec->trans_type; in create_udp_flow()
315 qp_flow->trans_type = trans_type; in create_udp_flow()
341 trans_type = trans_spec->trans_type; in create_and_add_flow()
342 switch (trans_type) { in create_and_add_flow()
351 trans_spec->trans_type); in create_and_add_flow()
369 switch (qp_flow->trans_type) { in release_and_remove_flow()
378 qp_flow->trans_type); in release_and_remove_flow()
639 enum usnic_transport_type trans_type = qp_flow->trans_type; in qp_grp_id_from_flow() local
[all …]
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/infiniband/hw/usnic/
H A Dusnic_ib_qp_grp.c215 trans_type = trans_spec->trans_type; in create_roce_custom_flow()
242 qp_flow->trans_type = trans_type; in create_roce_custom_flow()
278 trans_type = trans_spec->trans_type; in create_udp_flow()
315 qp_flow->trans_type = trans_type; in create_udp_flow()
341 trans_type = trans_spec->trans_type; in create_and_add_flow()
342 switch (trans_type) { in create_and_add_flow()
351 trans_spec->trans_type); in create_and_add_flow()
369 switch (qp_flow->trans_type) { in release_and_remove_flow()
378 qp_flow->trans_type); in release_and_remove_flow()
639 enum usnic_transport_type trans_type = qp_flow->trans_type; in qp_grp_id_from_flow() local
[all …]
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/infiniband/hw/usnic/
H A Dusnic_ib_qp_grp.c215 trans_type = trans_spec->trans_type; in create_roce_custom_flow()
242 qp_flow->trans_type = trans_type; in create_roce_custom_flow()
278 trans_type = trans_spec->trans_type; in create_udp_flow()
315 qp_flow->trans_type = trans_type; in create_udp_flow()
341 trans_type = trans_spec->trans_type; in create_and_add_flow()
342 switch (trans_type) { in create_and_add_flow()
351 trans_spec->trans_type); in create_and_add_flow()
369 switch (qp_flow->trans_type) { in release_and_remove_flow()
378 qp_flow->trans_type); in release_and_remove_flow()
639 enum usnic_transport_type trans_type = qp_flow->trans_type; in qp_grp_id_from_flow() local
[all …]

12345678910>>...16