Home
last modified time | relevance | path

Searched refs:use_signed (Results 1 – 8 of 8) sorted by relevance

/dports/graphics/mesa-dri-classic/mesa-20.2.3/src/gallium/drivers/r600/sfn/
H A Dsfn_emitaluinstruction.h67 bool emit_alu_div_int(const nir_alu_instr& instr, bool use_signed, bool mod);
H A Dsfn_emitaluinstruction.cpp1064 bool EmitAluInstruction::emit_alu_div_int(const nir_alu_instr& instr, bool use_signed, bool mod) in emit_alu_div_int() argument
1093 if (use_signed) { in emit_alu_div_int()
1146 if (use_signed) { in emit_alu_div_int()
/dports/lang/v8/v8-9.6.180.12/src/wasm/baseline/ppc/
H A Dliftoff-assembler-ppc.h1415 bool use_signed = liftoff::UseSignedOp(liftoff_cond); in emit_cond_jump() local
1420 if (use_signed) { in emit_cond_jump()
1433 if (use_signed) { in emit_cond_jump()
1444 CHECK(use_signed); in emit_cond_jump()
1454 bool use_signed = liftoff::UseSignedOp(liftoff_cond); in emit_i32_cond_jumpi() local
1456 if (use_signed) { in emit_i32_cond_jumpi()
1476 bool use_signed = liftoff::UseSignedOp(liftoff_cond); in emit_i32_set_cond() local
1477 if (use_signed) { in emit_i32_set_cond()
1501 bool use_signed = liftoff::UseSignedOp(liftoff_cond); in emit_i64_set_cond() local
1502 if (use_signed) { in emit_i64_set_cond()
/dports/lang/v8/v8-9.6.180.12/src/wasm/baseline/s390/
H A Dliftoff-assembler-s390.h2068 bool use_signed = liftoff::UseSignedOp(liftoff_cond); in emit_cond_jump() local
2073 if (use_signed) { in emit_cond_jump()
2086 if (use_signed) { in emit_cond_jump()
2097 CHECK(use_signed); in emit_cond_jump()
2107 bool use_signed = liftoff::UseSignedOp(liftoff_cond); in emit_i32_cond_jumpi() local
2109 if (use_signed) { in emit_i32_cond_jumpi()
2143 bool use_signed = liftoff::UseSignedOp(liftoff_cond); in emit_i32_set_cond() local
2144 if (use_signed) { in emit_i32_set_cond()
2160 bool use_signed = liftoff::UseSignedOp(liftoff_cond); in emit_i64_set_cond() local
2161 if (use_signed) { in emit_i64_set_cond()
/dports/graphics/vulkan-validation-layers/Vulkan-ValidationLayers-1.2.203/layers/
H A Dshader_validation.cpp2380 … const bool use_signed = (comp_type.opcode() == spv::OpTypeInt && comp_type.word(3) != 0); in ValidateTexelOffsetLimits() local
2385 … if (use_signed && (signed_offset < phys_dev_props.limits.minTexelGatherOffset)) { in ValidateTexelOffsetLimits()
2393 … (!use_signed || (use_signed && signed_offset > 0))) { in ValidateTexelOffsetLimits()
2402 … if (use_signed && (signed_offset < phys_dev_props.limits.minTexelOffset)) { in ValidateTexelOffsetLimits()
2409 … (!use_signed || (use_signed && signed_offset > 0))) { in ValidateTexelOffsetLimits()
/dports/games/spring/spring_98.0/rts/lib/streflop/
H A DRandom.cpp420 #define SPECIALIZE_RANDOM_FOR_TYPE(a_type,use_signed) \ argument
/dports/games/megaglest/megaglest-source-3.13.0/source/shared_lib/sources/streflop/
H A DRandom.cpp421 #define SPECIALIZE_RANDOM_FOR_TYPE(a_type,use_signed) \ argument
/dports/cad/iverilog/verilog-11.0/
H A Dnetmisc.cc220 bool use_signed = expr1->has_sign() && expr2->has_sign(); in make_add_expr() local
229 NetEBAdd*tmp = new NetEBAdd('+', expr1, expr2, use_wid, use_signed); in make_add_expr()