Home
last modified time | relevance | path

Searched refs:vclk_div (Results 1 – 25 of 86) sorted by relevance

1234

/dports/sysutils/u-boot-olinuxino-lime/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c342 unsigned int vclk_div; member
351 .vclk_div = 1,
360 .vclk_div = 1,
369 .vclk_div = 1,
378 .vclk_div = 1,
387 .vclk_div = 1,
396 .vclk_div = 2,
405 .vclk_div = 1,
696 unsigned int vid_pll_div, unsigned int vclk_div, in meson_vclk_set() argument
774 VCLK_DIV_MASK, vclk_div - 1); in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-olinuxino-lime2/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c342 unsigned int vclk_div; member
351 .vclk_div = 1,
360 .vclk_div = 1,
369 .vclk_div = 1,
378 .vclk_div = 1,
387 .vclk_div = 1,
396 .vclk_div = 2,
405 .vclk_div = 1,
696 unsigned int vid_pll_div, unsigned int vclk_div, in meson_vclk_set() argument
774 VCLK_DIV_MASK, vclk_div - 1); in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-wandboard/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c342 unsigned int vclk_div; member
351 .vclk_div = 1,
360 .vclk_div = 1,
369 .vclk_div = 1,
378 .vclk_div = 1,
387 .vclk_div = 1,
396 .vclk_div = 2,
405 .vclk_div = 1,
696 unsigned int vid_pll_div, unsigned int vclk_div, in meson_vclk_set() argument
774 VCLK_DIV_MASK, vclk_div - 1); in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-chip/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c342 unsigned int vclk_div; member
351 .vclk_div = 1,
360 .vclk_div = 1,
369 .vclk_div = 1,
378 .vclk_div = 1,
387 .vclk_div = 1,
396 .vclk_div = 2,
405 .vclk_div = 1,
696 unsigned int vid_pll_div, unsigned int vclk_div, in meson_vclk_set() argument
774 VCLK_DIV_MASK, vclk_div - 1); in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-cubieboard2/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c342 unsigned int vclk_div; member
351 .vclk_div = 1,
360 .vclk_div = 1,
369 .vclk_div = 1,
378 .vclk_div = 1,
387 .vclk_div = 1,
396 .vclk_div = 2,
405 .vclk_div = 1,
696 unsigned int vid_pll_div, unsigned int vclk_div, in meson_vclk_set() argument
774 VCLK_DIV_MASK, vclk_div - 1); in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-firefly-rk3399/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c342 unsigned int vclk_div; member
351 .vclk_div = 1,
360 .vclk_div = 1,
369 .vclk_div = 1,
378 .vclk_div = 1,
387 .vclk_div = 1,
396 .vclk_div = 2,
405 .vclk_div = 1,
696 unsigned int vid_pll_div, unsigned int vclk_div, in meson_vclk_set() argument
774 VCLK_DIV_MASK, vclk_div - 1); in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-cubox-hummingboard/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c342 unsigned int vclk_div; member
351 .vclk_div = 1,
360 .vclk_div = 1,
369 .vclk_div = 1,
378 .vclk_div = 1,
387 .vclk_div = 1,
396 .vclk_div = 2,
405 .vclk_div = 1,
696 unsigned int vid_pll_div, unsigned int vclk_div, in meson_vclk_set() argument
774 VCLK_DIV_MASK, vclk_div - 1); in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-a13-olinuxino/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c342 unsigned int vclk_div; member
351 .vclk_div = 1,
360 .vclk_div = 1,
369 .vclk_div = 1,
378 .vclk_div = 1,
387 .vclk_div = 1,
396 .vclk_div = 2,
405 .vclk_div = 1,
696 unsigned int vid_pll_div, unsigned int vclk_div, in meson_vclk_set() argument
774 VCLK_DIV_MASK, vclk_div - 1); in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-sinovoip-bpi-m3/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c342 unsigned int vclk_div; member
351 .vclk_div = 1,
360 .vclk_div = 1,
369 .vclk_div = 1,
378 .vclk_div = 1,
387 .vclk_div = 1,
396 .vclk_div = 2,
405 .vclk_div = 1,
696 unsigned int vid_pll_div, unsigned int vclk_div, in meson_vclk_set() argument
774 VCLK_DIV_MASK, vclk_div - 1); in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-a64-olinuxino/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c342 unsigned int vclk_div; member
351 .vclk_div = 1,
360 .vclk_div = 1,
369 .vclk_div = 1,
378 .vclk_div = 1,
387 .vclk_div = 1,
396 .vclk_div = 2,
405 .vclk_div = 1,
696 unsigned int vid_pll_div, unsigned int vclk_div, in meson_vclk_set() argument
774 VCLK_DIV_MASK, vclk_div - 1); in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-sopine/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c342 unsigned int vclk_div; member
351 .vclk_div = 1,
360 .vclk_div = 1,
369 .vclk_div = 1,
378 .vclk_div = 1,
387 .vclk_div = 1,
396 .vclk_div = 2,
405 .vclk_div = 1,
696 unsigned int vid_pll_div, unsigned int vclk_div, in meson_vclk_set() argument
774 VCLK_DIV_MASK, vclk_div - 1); in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-rpi/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c342 unsigned int vclk_div; member
351 .vclk_div = 1,
360 .vclk_div = 1,
369 .vclk_div = 1,
378 .vclk_div = 1,
387 .vclk_div = 1,
396 .vclk_div = 2,
405 .vclk_div = 1,
696 unsigned int vid_pll_div, unsigned int vclk_div, in meson_vclk_set() argument
774 VCLK_DIV_MASK, vclk_div - 1); in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-qemu-arm64/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c342 unsigned int vclk_div; member
351 .vclk_div = 1,
360 .vclk_div = 1,
369 .vclk_div = 1,
378 .vclk_div = 1,
387 .vclk_div = 1,
396 .vclk_div = 2,
405 .vclk_div = 1,
696 unsigned int vid_pll_div, unsigned int vclk_div, in meson_vclk_set() argument
774 VCLK_DIV_MASK, vclk_div - 1); in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-nanopi-neo2/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c342 unsigned int vclk_div; member
351 .vclk_div = 1,
360 .vclk_div = 1,
369 .vclk_div = 1,
378 .vclk_div = 1,
387 .vclk_div = 1,
396 .vclk_div = 2,
405 .vclk_div = 1,
696 unsigned int vid_pll_div, unsigned int vclk_div, in meson_vclk_set() argument
774 VCLK_DIV_MASK, vclk_div - 1); in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-olimex-a20-som-evb/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c342 unsigned int vclk_div; member
351 .vclk_div = 1,
360 .vclk_div = 1,
369 .vclk_div = 1,
378 .vclk_div = 1,
387 .vclk_div = 1,
396 .vclk_div = 2,
405 .vclk_div = 1,
696 unsigned int vid_pll_div, unsigned int vclk_div, in meson_vclk_set() argument
774 VCLK_DIV_MASK, vclk_div - 1); in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-nanopi-m1plus/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c342 unsigned int vclk_div; member
351 .vclk_div = 1,
360 .vclk_div = 1,
369 .vclk_div = 1,
378 .vclk_div = 1,
387 .vclk_div = 1,
396 .vclk_div = 2,
405 .vclk_div = 1,
696 unsigned int vid_pll_div, unsigned int vclk_div, in meson_vclk_set() argument
774 VCLK_DIV_MASK, vclk_div - 1); in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-nanopi-r4s/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c342 unsigned int vclk_div; member
351 .vclk_div = 1,
360 .vclk_div = 1,
369 .vclk_div = 1,
378 .vclk_div = 1,
387 .vclk_div = 1,
396 .vclk_div = 2,
405 .vclk_div = 1,
696 unsigned int vid_pll_div, unsigned int vclk_div, in meson_vclk_set() argument
774 VCLK_DIV_MASK, vclk_div - 1); in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-clearfog/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c342 unsigned int vclk_div; member
351 .vclk_div = 1,
360 .vclk_div = 1,
369 .vclk_div = 1,
378 .vclk_div = 1,
387 .vclk_div = 1,
396 .vclk_div = 2,
405 .vclk_div = 1,
696 unsigned int vid_pll_div, unsigned int vclk_div, in meson_vclk_set() argument
774 VCLK_DIV_MASK, vclk_div - 1); in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-cubieboard/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c342 unsigned int vclk_div; member
351 .vclk_div = 1,
360 .vclk_div = 1,
369 .vclk_div = 1,
378 .vclk_div = 1,
387 .vclk_div = 1,
396 .vclk_div = 2,
405 .vclk_div = 1,
696 unsigned int vid_pll_div, unsigned int vclk_div, in meson_vclk_set() argument
774 VCLK_DIV_MASK, vclk_div - 1); in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-orangepi-zero-plus/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c342 unsigned int vclk_div; member
351 .vclk_div = 1,
360 .vclk_div = 1,
369 .vclk_div = 1,
378 .vclk_div = 1,
387 .vclk_div = 1,
396 .vclk_div = 2,
405 .vclk_div = 1,
696 unsigned int vid_pll_div, unsigned int vclk_div, in meson_vclk_set() argument
774 VCLK_DIV_MASK, vclk_div - 1); in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-orangepi-r1/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c342 unsigned int vclk_div; member
351 .vclk_div = 1,
360 .vclk_div = 1,
369 .vclk_div = 1,
378 .vclk_div = 1,
387 .vclk_div = 1,
396 .vclk_div = 2,
405 .vclk_div = 1,
696 unsigned int vid_pll_div, unsigned int vclk_div, in meson_vclk_set() argument
774 VCLK_DIV_MASK, vclk_div - 1); in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-orangepi-zero/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c342 unsigned int vclk_div; member
351 .vclk_div = 1,
360 .vclk_div = 1,
369 .vclk_div = 1,
378 .vclk_div = 1,
387 .vclk_div = 1,
396 .vclk_div = 2,
405 .vclk_div = 1,
696 unsigned int vid_pll_div, unsigned int vclk_div, in meson_vclk_set() argument
774 VCLK_DIV_MASK, vclk_div - 1); in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-pandaboard/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c342 unsigned int vclk_div; member
351 .vclk_div = 1,
360 .vclk_div = 1,
369 .vclk_div = 1,
378 .vclk_div = 1,
387 .vclk_div = 1,
396 .vclk_div = 2,
405 .vclk_div = 1,
696 unsigned int vid_pll_div, unsigned int vclk_div, in meson_vclk_set() argument
774 VCLK_DIV_MASK, vclk_div - 1); in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-pine64/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c342 unsigned int vclk_div; member
351 .vclk_div = 1,
360 .vclk_div = 1,
369 .vclk_div = 1,
378 .vclk_div = 1,
387 .vclk_div = 1,
396 .vclk_div = 2,
405 .vclk_div = 1,
696 unsigned int vid_pll_div, unsigned int vclk_div, in meson_vclk_set() argument
774 VCLK_DIV_MASK, vclk_div - 1); in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-pine-h64/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c342 unsigned int vclk_div; member
351 .vclk_div = 1,
360 .vclk_div = 1,
369 .vclk_div = 1,
378 .vclk_div = 1,
387 .vclk_div = 1,
396 .vclk_div = 2,
405 .vclk_div = 1,
696 unsigned int vid_pll_div, unsigned int vclk_div, in meson_vclk_set() argument
774 VCLK_DIV_MASK, vclk_div - 1); in meson_vclk_set()
[all …]

1234