Home
last modified time | relevance | path

Searched refs:vec_zero (Results 1 – 25 of 185) sorted by relevance

12345678

/dports/devel/systemc/systemc-2.3.4_pub_rev_20190614/src/sysc/datatypes/int/
H A Dsc_nbexterns.cpp91 vec_zero(und, ud); in add_on_help()
176 vec_zero(nd, d); in mul_on_help_signed()
251 vec_zero(nd, d); in mul_on_help_unsigned()
304 vec_zero(old_und, ud); in div_on_help_signed()
324 vec_zero(nd, d); in div_on_help_signed()
374 vec_zero(old_und, ud); in div_on_help_unsigned()
394 vec_zero(nd, d); in div_on_help_unsigned()
453 vec_zero(old_und, ud); in mod_on_help_signed()
463 vec_zero(old_und, ud); in mod_on_help_signed()
476 vec_zero(nd, d); in mod_on_help_signed()
[all …]
H A Dsc_nbutils.h507 vec_zero(int from, int ulen, sc_digit *u) in vec_zero() function
522 vec_zero(int ulen, sc_digit *u) in vec_zero() function
524 vec_zero(0, ulen, u); in vec_zero()
555 vec_zero(vlen, ulen, u); in vec_copy_and_zero()
609 vec_zero(i, ulen, u); in from_uint()
732 vec_zero(nd, d); in make_zero()
H A Dsc_nbcommon.inc245 vec_zero(ndigits, digit);
263 vec_zero(ndigits, digit);
701 vec_zero(ndigits, digit);
720 vec_zero(ndigits, digit);
739 vec_zero(ndigits, digit);
762 vec_zero(ndigits, digit);
785 vec_zero(ndigits, digit);
808 vec_zero(ndigits, digit);
2546 vec_zero(ndigits, digit);
2711 vec_zero(ndigits, digit);
[all …]
/dports/games/asteroids3d/asteroids3D-0.5.1/src/
H A Dthrust.c46 vec_zero(&thrust); in init_thrust()
47 vec_zero(&velocity); in init_thrust()
48 vec_zero(&rotation); in init_thrust()
143 vec_zero(&rotation); in process_motion()
H A Dvecops.h53 extern void vec_zero(Vector *);
123 autolinkage void vec_zero(Vector *v) in vec_zero() function
/dports/lang/spidermonkey60/firefox-60.9.0/security/nss/lib/freebl/verified/
H A DHacl_Chacha20_Vec128.c200 KRML_CHECK_SIZE(vec_zero(), (uint32_t)4U); in Hacl_Impl_Chacha20_Vec128_chacha20_block()
203 k[_i] = vec_zero(); in Hacl_Impl_Chacha20_Vec128_chacha20_block()
255 KRML_CHECK_SIZE(vec_zero(), (uint32_t)4U); in Hacl_Impl_Chacha20_Vec128_update()
258 k[_i] = vec_zero(); in Hacl_Impl_Chacha20_Vec128_update()
266 KRML_CHECK_SIZE(vec_zero(), (uint32_t)4U); in Hacl_Impl_Chacha20_Vec128_update3()
269 k0[_i] = vec_zero(); in Hacl_Impl_Chacha20_Vec128_update3()
270 KRML_CHECK_SIZE(vec_zero(), (uint32_t)4U); in Hacl_Impl_Chacha20_Vec128_update3()
273 k1[_i] = vec_zero(); in Hacl_Impl_Chacha20_Vec128_update3()
274 KRML_CHECK_SIZE(vec_zero(), (uint32_t)4U); in Hacl_Impl_Chacha20_Vec128_update3()
277 k2[_i] = vec_zero(); in Hacl_Impl_Chacha20_Vec128_update3()
[all …]
/dports/emulators/mess/mame-mame0226/src/devices/cpu/rsp/
H A Drspcp2.cpp2290 write_vco_hi(m_flags[RSP_VCO].s, vec_zero()); in handle_vector_ops()
2291 write_vco_lo(m_flags[RSP_VCO].s, vec_zero()); in handle_vector_ops()
2336 write_vco_hi(m_flags[RSP_VCO].s, vec_zero()); in handle_vector_ops()
2337 write_vco_lo(m_flags[RSP_VCO].s, vec_zero()); in handle_vector_ops()
2437 write_vco_hi(m_flags[RSP_VCO].s, vec_zero()); in handle_vector_ops()
2603 write_vcc_hi(m_flags[RSP_VCC].s, vec_zero()); in handle_vector_ops()
2605 write_vco_hi(m_flags[RSP_VCO].s, vec_zero()); in handle_vector_ops()
2606 write_vco_lo(m_flags[RSP_VCO].s, vec_zero()); in handle_vector_ops()
2672 write_vcc_hi(m_flags[RSP_VCC].s, vec_zero()); in handle_vector_ops()
2849 write_vce(m_flags[RSP_VCE].s, vec_zero()); in handle_vector_ops()
[all …]
/dports/emulators/mame/mame-mame0226/src/devices/cpu/rsp/
H A Drspcp2.cpp2290 write_vco_hi(m_flags[RSP_VCO].s, vec_zero()); in handle_vector_ops()
2291 write_vco_lo(m_flags[RSP_VCO].s, vec_zero()); in handle_vector_ops()
2336 write_vco_hi(m_flags[RSP_VCO].s, vec_zero()); in handle_vector_ops()
2337 write_vco_lo(m_flags[RSP_VCO].s, vec_zero()); in handle_vector_ops()
2437 write_vco_hi(m_flags[RSP_VCO].s, vec_zero()); in handle_vector_ops()
2603 write_vcc_hi(m_flags[RSP_VCC].s, vec_zero()); in handle_vector_ops()
2605 write_vco_hi(m_flags[RSP_VCO].s, vec_zero()); in handle_vector_ops()
2606 write_vco_lo(m_flags[RSP_VCO].s, vec_zero()); in handle_vector_ops()
2672 write_vcc_hi(m_flags[RSP_VCC].s, vec_zero()); in handle_vector_ops()
2849 write_vce(m_flags[RSP_VCE].s, vec_zero()); in handle_vector_ops()
[all …]
/dports/science/libefp/libefp-1.5.0/src/
H A Delec.c119 vec_t force = vec_zero, torque_i = vec_zero, torque_j = vec_zero; in atom_mult_grad()
249 vec_t force = vec_zero, torque_i = vec_zero, torque_j = vec_zero; in mult_mult_grad()
590 force = vec_zero; in compute_ai_elec_frag_grad()
591 add_i = vec_zero; in compute_ai_elec_frag_grad()
592 add_j = vec_zero; in compute_ai_elec_frag_grad()
H A Dpol.c67 vec_t field = vec_zero; in get_multipole_field()
121 vec_t elec_field = vec_zero; in get_elec_field()
276 frag->polarizable_pts[j].elec_field_wf = vec_zero; in compute_elec_field()
294 *field = vec_zero; in get_induced_dipole_field()
295 *field_conj = vec_zero; in get_induced_dipole_field()
578 force = vec_zero; in compute_grad_point()
579 add_i = vec_zero; in compute_grad_point()
580 add_j = vec_zero; in compute_grad_point()
765 vec_t elec_field = vec_zero; in efp_get_electric_field()
/dports/graphics/wdune/wdune-1.926/src/
H A Dsubd.c250 vec_zero(p); in sd_do_iteration()
263 vec_zero(p); in sd_do_iteration()
293 vec_zero(p); in sd_do_iteration()
298 vec_zero(p); in sd_do_iteration()
/dports/devel/llvm-cheri/llvm-project-37c49ff00e3eadce5d8703fdc4497f28458c64a8/llvm/test/Transforms/InstCombine/
H A Dpow-0.ll31 ; CHECK-LABEL: @vec_zero(
33 define <2 x double> @vec_zero(<2 x double> %value) {
/dports/devel/llvm-devel/llvm-project-f05c95f10fc1d8171071735af8ad3a9e87633120/llvm/test/Transforms/InstCombine/
H A Dpow-0.ll31 ; CHECK-LABEL: @vec_zero(
33 define <2 x double> @vec_zero(<2 x double> %value) {
/dports/devel/llvm90/llvm-9.0.1.src/test/Transforms/InstCombine/
H A Dpow-0.ll31 ; CHECK-LABEL: @vec_zero(
33 define <2 x double> @vec_zero(<2 x double> %value) {
/dports/devel/llvm11/llvm-11.0.1.src/test/Transforms/InstCombine/
H A Dpow-0.ll31 ; CHECK-LABEL: @vec_zero(
33 define <2 x double> @vec_zero(<2 x double> %value) {
/dports/devel/llvm10/llvm-10.0.1.src/test/Transforms/InstCombine/
H A Dpow-0.ll31 ; CHECK-LABEL: @vec_zero(
33 define <2 x double> @vec_zero(<2 x double> %value) {
/dports/devel/wasi-compiler-rt13/llvm-project-13.0.1.src/llvm/test/Transforms/InstCombine/
H A Dpow-0.ll31 ; CHECK-LABEL: @vec_zero(
33 define <2 x double> @vec_zero(<2 x double> %value) {
/dports/www/chromium-legacy/chromium-88.0.4324.182/third_party/llvm/llvm/test/Transforms/InstCombine/
H A Dpow-0.ll31 ; CHECK-LABEL: @vec_zero(
33 define <2 x double> @vec_zero(<2 x double> %value) {
/dports/devel/tinygo/tinygo-0.14.1/llvm-project/llvm/test/Transforms/InstCombine/
H A Dpow-0.ll31 ; CHECK-LABEL: @vec_zero(
33 define <2 x double> @vec_zero(<2 x double> %value) {
/dports/devel/wasi-compiler-rt12/llvm-project-12.0.1.src/llvm/test/Transforms/InstCombine/
H A Dpow-0.ll31 ; CHECK-LABEL: @vec_zero(
33 define <2 x double> @vec_zero(<2 x double> %value) {
/dports/devel/wasi-libcxx/llvm-project-13.0.1.src/llvm/test/Transforms/InstCombine/
H A Dpow-0.ll31 ; CHECK-LABEL: @vec_zero(
33 define <2 x double> @vec_zero(<2 x double> %value) {
/dports/devel/llvm12/llvm-project-12.0.1.src/llvm/test/Transforms/InstCombine/
H A Dpow-0.ll31 ; CHECK-LABEL: @vec_zero(
33 define <2 x double> @vec_zero(<2 x double> %value) {
/dports/graphics/llvm-mesa/llvm-13.0.1.src/test/Transforms/InstCombine/
H A Dpow-0.ll31 ; CHECK-LABEL: @vec_zero(
33 define <2 x double> @vec_zero(<2 x double> %value) {
/dports/devel/llvm13/llvm-project-13.0.1.src/llvm/test/Transforms/InstCombine/
H A Dpow-0.ll31 ; CHECK-LABEL: @vec_zero(
33 define <2 x double> @vec_zero(<2 x double> %value) {
/dports/math/e-antic/e-antic-1.0.0-rc.13/libeantic/upstream/antic/fq_vec_templates/
H A Dzero.c18 _TEMPLATE(T, vec_zero) (TEMPLATE(T, struct) * vec, in _TEMPLATE() argument

12345678