Home
last modified time | relevance | path

Searched +refs:verilog +refs:type +refs:keywords (Results 1 – 25 of 326) sorted by relevance

12345678910>>...14

/dports/x11-toolkits/scintilla/scite/src/
H A Dverilog.properties5 filter.verilog=Verilog (verilog)|$(file.patterns.verilog)|
7 *filter.verilog=$(filter.verilog)
9 lexer.$(file.patterns.verilog)=verilog
14 word.characters.$(file.patterns.verilog)=$(word.chars.verilog)
65 keywords.$(file.patterns.verilog)=$(keywordclass.verilog)
67 # Secondary keywords and identifiers
122 keywords.$(file.patterns.systemverilog)=\
143 triand trior trireg type typedef union unique unsigned use uwire var vectored \
147 # Secondary keywords and identifiers
211 # Keyword2, Secondary keywords and identifiers
[all …]
H A DEmbedded.properties291 *temperature *time *type \
2969 first-of-type last-of-type nth-of-type nth-last-of-type only-of-type \
5949 template try tuple type \
6284 keywordclass.type=\
7151 type where wjb write
8194 verilog vhdl \
9384 module verilog
9387 filter.verilog=Verilog (verilog)|$(file.patterns.verilog)|
9389 *filter.verilog=$(filter.verilog)
9391 lexer.$(file.patterns.verilog)=verilog
[all …]
H A Dspecman.properties15 keywords.$(file.patterns.specman)= \
19 verilog vhdl \
40 define as computed type extend \
62 # keywords2 is for highlighting secondary keywords
67 # keywords3 is for sequence and eRM keywords and functions
92 # keywords4 is for highlighting user defined keywords or function calls, highlighted in style 19
/dports/editors/scite/scite/src/
H A Dverilog.properties5 filter.verilog=Verilog (verilog)|$(file.patterns.verilog)|
7 *filter.verilog=$(filter.verilog)
9 lexer.$(file.patterns.verilog)=verilog
14 word.characters.$(file.patterns.verilog)=$(word.chars.verilog)
65 keywords.$(file.patterns.verilog)=$(keywordclass.verilog)
67 # Secondary keywords and identifiers
122 keywords.$(file.patterns.systemverilog)=\
143 triand trior trireg type typedef union unique unsigned use uwire var vectored \
147 # Secondary keywords and identifiers
211 # Keyword2, Secondary keywords and identifiers
[all …]
H A DEmbedded.properties291 *temperature *time *type \
2969 first-of-type last-of-type nth-of-type nth-last-of-type only-of-type \
5949 template try tuple type \
6284 keywordclass.type=\
7151 type where wjb write
8194 verilog vhdl \
9384 module verilog
9387 filter.verilog=Verilog (verilog)|$(file.patterns.verilog)|
9389 *filter.verilog=$(filter.verilog)
9391 lexer.$(file.patterns.verilog)=verilog
[all …]
H A Dspecman.properties15 keywords.$(file.patterns.specman)= \
19 verilog vhdl \
40 define as computed type extend \
62 # keywords2 is for highlighting secondary keywords
67 # keywords3 is for sequence and eRM keywords and functions
92 # keywords4 is for highlighting user defined keywords or function calls, highlighted in style 19
/dports/www/nextcloud/nextcloud/apps-pkg/text/js/highlight/
H A Dverilog.js.map1verilog.js"],"names":["module","exports","hljs","name","aliases","case_insensitive","keywords","$p…
/dports/editors/micro/micro-2.0.10/runtime/syntax/
H A Dverilog.yaml1 filetype: verilog
8 - type.keyword: "\\b(task|interface|class|endtask|endinterface|endclass)\\b"
12 # Verilog keywords
24 # SystemVerilog keywords
32 … - statement: "\\b(timeunit|type|typedef|union|unique|virtual|wait_order|wildcard|with|within)\\b"
35 …- type.keyword: "\\b(int|integer|logic|wire|tri|unsigned|signed|inout|var|shortint|shortreal|real|…
/dports/devel/geany/geany-1.38/data/filedefs/
H A Dfiletypes.verilog17 userword=type
24 [keywords]
35 # MIME type
36 mime_type=text/x-verilog
61 #type=1
/dports/devel/geany-legacy/geany-1.37.1/data/filedefs/
H A Dfiletypes.verilog17 userword=type
24 [keywords]
35 # MIME type
36 mime_type=text/x-verilog
61 #type=1
/dports/editors/emacs-devel/emacs-4d1968b/lisp/
H A DChangeLog.155171 (verilog-gate-keywords, verilog-read-sub-decls)
5183 (verilog-font-lock-keywords-2): Fix highlighting of single
5236 (verilog-error-font-lock-keywords)
10203 * progmodes/verilog-mode.el (verilog-type-font-keywords):
10226 * progmodes/verilog-mode.el (verilog-type-font-keywords):
11142 * type-break.el (type-break-query-mode)
11967 * progmodes/verilog-mode.el (verilog-type-keywords): Fix pulldown
11974 (verilog-keywords):
11975 (verilog-1800-2005-keywords, verilog-1800-2009-keywords): Add IEEE
12291 * json.el (json-object-type, json-array-type, json-key-type)
[all …]
H A DChangeLog.133562 (verilog-highlight-p1800-keywords): Improve docstring.
3573 (verilog-auto-lineup, verilog-highlight-p1800-keywords)
3589 (verilog-type-font-keywords, verilog-inside-comment-p)
3921 (org-additional-option-like-keywords): Add new keywords.
5368 (verilog-font-lock-keywords, verilog-font-lock-keywords-1)
5369 (verilog-font-lock-keywords-2, verilog-font-lock-keywords-3)
5461 (verilog-mode-release-date): Don't use expanding keywords.
8599 * progmodes/f90.el (f90-keywords-re, f90-keywords-level-3-re):
8927 (f90-keywords-re, f90-keywords-level-3-re, f90-procedures-re):
8951 (f90-looking-at-type-like): Avoid `type is' and `type (sometype)'.
[all …]
H A DChangeLog.1412563 * progmodes/verilog-mode.el (verilog-type-font-keywords):
18144 * progmodes/verilog-mode.el (verilog-highlight-grouping-keywords):
18146 (verilog-type-font-keywords): Reindent.
18150 * progmodes/verilog-mode.el (verilog-font-grouping-keywords):
19319 keywords standout more than other verilog keywords.
19320 (verilog-type-font-keywords): Move the begin..end out of this list
19913 (verilog-continued-line, verilog-type-keywords)
19930 (verilog-tab-always-indent, verilog-highlight-p1800-keywords)
19935 (verilog-case-indent-level, verilog-cpp-keywords)
19936 (verilog-defun-keywords, verilog-block-keywords, verilog-tf-keywords)
[all …]
H A DChangeLog.1671 (sql-mode-oracle-font-lock-keywords): Add missing keywords.
1826 (c++-font-lock-keywords-3, objc-font-lock-keywords-3)
2725 Update keywords list, data type and PL/pgSQL.
11941 * progmodes/verilog-mode.el (font-lock-keywords):
11959 (verilog-read-decls): Fix AUTOWIRE etc on supply0, supply1 type
11983 (verilog-read-decls): Fix 'parameter type' not appearing in
14513 (verilog-auto-wire-type)
15411 keywords.
16038 (verilog-auto-wire-type, verilog-insert-definition):
16039 Add verilog-auto-wire-type and AUTOLOGIC to support using
[all …]
H A DChangeLog.174343 (verilog-auto-output, verilog-auto-input, verilog-auto-inout)
5455 * type-break.el (type-break-schedule, type-break-time-sum):
10137 (verilog-type-font-keywords): Add nor.
16524 (verilog-type-completion, verilog-get-list): Remove unused funcs.
17525 (verilog-highlight-grouping-keywords, verilog-active-low-regexp)
17530 (verilog-scan-cache-preserving, verilog-mode, verilog-at-struct-p)
17532 (verilog-auto-inst, verilog-auto-inout-param, verilog-auto):
17544 (verilog-keywords, verilog-type-font-keywords):
17554 (verilog-sig-tieoff, verilog-typedef-name-p, verilog-auto-inst)
24694 * type-break.el (type-break-good-break-interval):
[all …]
/dports/editors/emacs/emacs-27.2/lisp/
H A DChangeLog.155171 (verilog-gate-keywords, verilog-read-sub-decls)
5183 (verilog-font-lock-keywords-2): Fix highlighting of single
5236 (verilog-error-font-lock-keywords)
10203 * progmodes/verilog-mode.el (verilog-type-font-keywords):
10226 * progmodes/verilog-mode.el (verilog-type-font-keywords):
11142 * type-break.el (type-break-query-mode)
11967 * progmodes/verilog-mode.el (verilog-type-keywords): Fix pulldown
11974 (verilog-keywords):
11975 (verilog-1800-2005-keywords, verilog-1800-2009-keywords): Add IEEE
12291 * json.el (json-object-type, json-array-type, json-key-type)
[all …]
H A DChangeLog.133562 (verilog-highlight-p1800-keywords): Improve docstring.
3573 (verilog-auto-lineup, verilog-highlight-p1800-keywords)
3589 (verilog-type-font-keywords, verilog-inside-comment-p)
3921 (org-additional-option-like-keywords): Add new keywords.
5368 (verilog-font-lock-keywords, verilog-font-lock-keywords-1)
5369 (verilog-font-lock-keywords-2, verilog-font-lock-keywords-3)
5461 (verilog-mode-release-date): Don't use expanding keywords.
8599 * progmodes/f90.el (f90-keywords-re, f90-keywords-level-3-re):
8927 (f90-keywords-re, f90-keywords-level-3-re, f90-procedures-re):
8951 (f90-looking-at-type-like): Avoid `type is' and `type (sometype)'.
[all …]
H A DChangeLog.1412563 * progmodes/verilog-mode.el (verilog-type-font-keywords):
18144 * progmodes/verilog-mode.el (verilog-highlight-grouping-keywords):
18146 (verilog-type-font-keywords): Reindent.
18150 * progmodes/verilog-mode.el (verilog-font-grouping-keywords):
19319 keywords standout more than other verilog keywords.
19320 (verilog-type-font-keywords): Move the begin..end out of this list
19913 (verilog-continued-line, verilog-type-keywords)
19930 (verilog-tab-always-indent, verilog-highlight-p1800-keywords)
19935 (verilog-case-indent-level, verilog-cpp-keywords)
19936 (verilog-defun-keywords, verilog-block-keywords, verilog-tf-keywords)
[all …]
H A DChangeLog.1671 (sql-mode-oracle-font-lock-keywords): Add missing keywords.
1826 (c++-font-lock-keywords-3, objc-font-lock-keywords-3)
2725 Update keywords list, data type and PL/pgSQL.
11941 * progmodes/verilog-mode.el (font-lock-keywords):
11959 (verilog-read-decls): Fix AUTOWIRE etc on supply0, supply1 type
11983 (verilog-read-decls): Fix 'parameter type' not appearing in
14513 (verilog-auto-wire-type)
15411 keywords.
16038 (verilog-auto-wire-type, verilog-insert-definition):
16039 Add verilog-auto-wire-type and AUTOLOGIC to support using
[all …]
H A DChangeLog.174343 (verilog-auto-output, verilog-auto-input, verilog-auto-inout)
5455 * type-break.el (type-break-schedule, type-break-time-sum):
10137 (verilog-type-font-keywords): Add nor.
16524 (verilog-type-completion, verilog-get-list): Remove unused funcs.
17525 (verilog-highlight-grouping-keywords, verilog-active-low-regexp)
17530 (verilog-scan-cache-preserving, verilog-mode, verilog-at-struct-p)
17532 (verilog-auto-inst, verilog-auto-inout-param, verilog-auto):
17544 (verilog-keywords, verilog-type-font-keywords):
17554 (verilog-sig-tieoff, verilog-typedef-name-p, verilog-auto-inst)
24694 * type-break.el (type-break-good-break-interval):
[all …]
/dports/devel/universal-ctags/ctags-p5.9.20211128.0/man/
H A Dctags-lang-verilog.7.rst.in1 .. _ctags_lang-verilog(7):
4 ctags-lang-verilog
30 SystemVerilog parser supports IEEE Std 1800-2017 keywords.
31 Verilog parser supports IEEE Std 1364-2005 keywords.
54 T typedef yes no 0 NONE type declarations
/dports/devel/universal-ctags/ctags-p5.9.20211128.0/docs/man/
H A Dctags-lang-verilog.7.rst1 .. _ctags_lang-verilog(7):
4 ctags-lang-verilog
30 SystemVerilog parser supports IEEE Std 1800-2017 keywords.
31 Verilog parser supports IEEE Std 1364-2005 keywords.
54 T typedef yes no 0 NONE type declarations
/dports/editors/neovim/neovim-0.6.1/runtime/syntax/
H A Dhaste.vim4 " Credits: some parts have been taken from vhdl, verilog, and C syntax
23 " HASTE keywords
34 syn keyword hasteStatement type until var wait wire
/dports/editors/vim/vim-8.2.3745/runtime/syntax/
H A Dhaste.vim4 " Credits: some parts have been taken from vhdl, verilog, and C syntax
23 " HASTE keywords
34 syn keyword hasteStatement type until var wait wire
/dports/cad/yosys/yosys-yosys-0.12/manual/
H A DCHAPTER_Verilog.tex3 \label{chapter:verilog}
90 frontends/verilog/preproc.cc} in the Yosys source tree.
96 can be found in {\tt frontends/verilog/verilog\_lexer.l} in the Yosys source tree.
97 The lexer does little more than identifying all keywords and literals
118 can be found in {\tt frontends/verilog/verilog\_parser.y} in the Yosys source tree.
133 {\tt AST\_NONE} & This Node type should never be used. \\
136 {\tt AST\_DESIGN} & This node type is used for the top node of the AST tree. It
187 The type of cell in cell instantiation \\
372 \lstinline[language=Verilog];generate; keywords and
390 \item {\bf The node type} \\
[all …]

12345678910>>...14