/dports/net-p2p/go-ethereum/go-ethereum-1.10.14/vendor/github.com/tyler-smith/go-bip39/ |
H A D | bip39.go | 126 var wordBytes [2]byte 127 binary.BigEndian.PutUint16(wordBytes[:], uint16(index)) 129 b = b.Or(b, big.NewInt(0).SetBytes(wordBytes[:])) 198 wordBytes := padByteSlice(word.Bytes(), 2) 201 words[i] = wordList[binary.BigEndian.Uint16(wordBytes)]
|
/dports/net-p2p/go-ethereum/go-ethereum-1.10.14/vendor/github.com/status-im/keycard-go/vendor/github.com/ethereum/go-ethereum/common/math/ |
H A D | big.go | 39 wordBytes = wordBits / 8 const 140 i := n / wordBytes 146 shift := 8 * uint(n%wordBytes) 167 for j := 0; j < wordBytes && i > 0; j++ {
|
/dports/net-p2p/go-ethereum/go-ethereum-1.10.14/common/math/ |
H A D | big.go | 39 wordBytes = wordBits / 8 const 181 i := n / wordBytes 187 shift := 8 * uint(n%wordBytes) 208 for j := 0; j < wordBytes && i > 0; j++ {
|
/dports/java/eclipse/eclipse.platform.releng.aggregator-R4_16/eclipse.jdt.ui/org.eclipse.jdt.ui/ui/org/eclipse/jdt/internal/ui/text/spelling/engine/ |
H A D | AbstractSpellDictionary.java | 467 byte[] wordBytes; in hashWord() 470 wordBytes= word.getBytes(UTF_8); in hashWord() 479 fHashBuckets.put(hashBytes, wordBytes); in hashWord() 483 bucketList.add(wordBytes); in hashWord() 487 list.add(wordBytes); in hashWord() 526 byte[] wordBytes; in isCorrect() 529 wordBytes= word.getBytes(UTF_8); in isCorrect() 536 if (Arrays.equals(candidate, wordBytes) || Arrays.equals(candidate, lowercaseWordBytes)) { in isCorrect()
|
/dports/security/snowflake-tor/snowflake-ead5a960d7fa19dc890ccbfc0765c5ab6629eaa9/vendor/github.com/templexxx/xorsimd/ |
H A D | xor_generic.go | 41 wordBytes := w * wordSize 45 wordAlignSrc[i] = src[i][:wordBytes] 47 fastEnc(dst[:wordBytes], wordAlignSrc)
|
/dports/games/openfodder/openfodder-1.6.0/Source/ |
H A D | stdafx.hpp | 92 uint16* wordBytes = (uint16 *) buffer; in writeLEWord() local 93 *wordBytes = pValue; in writeLEWord()
|
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/zpu/core/ |
H A D | zpu_core.vhd | 28 mem_writeMask: out std_logic_vector(wordBytes-1 downto 0); 133 type InsnArray is array(0 to wordBytes-1) of InsnType; 136 type OpcodeArray is array(0 to wordBytes-1) of std_logic_vector(7 downto 0); 281 for i in 0 to wordBytes-1 loop 282 tOpcode := decodeWord((wordBytes-1-i+1)*8-1 downto (wordBytes-1-i)*8); 868 …stackA(7 downto 0) <= mem_read(((wordBytes-1-conv_integer(stackA(byteBits-1 downto 0)))*8+7) downt… 876 …mem_write(((wordBytes-1-conv_integer(stackA(byteBits-1 downto 0)))*8+7) downto (wordBytes-1-conv_i…
|
H A D | zpupkg.vhd | 20 constant wordBytes : integer := wordSize/8; constant 47 mem_writeMask : in std_logic_vector(wordBytes-1 downto 0)); 75 mem_writeMask: out std_logic_vector(wordBytes-1 downto 0);
|
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/opencores/zpu/core/ |
H A D | zpu_core.vhd | 28 mem_writeMask: out std_logic_vector(wordBytes-1 downto 0); 133 type InsnArray is array(0 to wordBytes-1) of InsnType; 136 type OpcodeArray is array(0 to wordBytes-1) of std_logic_vector(7 downto 0); 281 for i in 0 to wordBytes-1 loop 282 tOpcode := decodeWord((wordBytes-1-i+1)*8-1 downto (wordBytes-1-i)*8); 868 …stackA(7 downto 0) <= mem_read(((wordBytes-1-conv_integer(stackA(byteBits-1 downto 0)))*8+7) downt… 876 …mem_write(((wordBytes-1-conv_integer(stackA(byteBits-1 downto 0)))*8+7) downto (wordBytes-1-conv_i…
|
H A D | zpupkg.vhd | 20 constant wordBytes : integer := wordSize/8; constant 47 mem_writeMask : in std_logic_vector(wordBytes-1 downto 0)); 75 mem_writeMask: out std_logic_vector(wordBytes-1 downto 0);
|
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/zpu/ |
H A D | zpu_top_pkg.vhd | 22 mem_writeMask : in std_logic_vector(wordBytes-1 downto 0);
|
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/opencores/zpu/ |
H A D | zpu_top_pkg.vhd | 22 mem_writeMask : in std_logic_vector(wordBytes-1 downto 0);
|
/dports/x11-toolkits/tix/Tix8.4.3/win/ |
H A D | tixWinXpm.c | 79 int wordBytes = sizeof(WORD)/sizeof(char); /* # of bytes in WORD */ local 98 mask->bytes_per_line = words_per_line * wordBytes;
|
/dports/x11-toolkits/p5-Tk/Tk-804.035/pTk/mTk/tixWin/ |
H A D | tixWinXpm.c | 85 int wordBytes = sizeof(WORD)/sizeof(char); /* # of bytes in WORD */ local 104 mask->bytes_per_line = words_per_line * wordBytes;
|
/dports/net-p2p/go-ethereum/go-ethereum-1.10.14/crypto/secp256k1/ |
H A D | curve.go | 44 wordBytes = wordBits / 8 const 53 for j := 0; j < wordBytes && i > 0; j++ {
|
/dports/net-p2p/go-ethereum/go-ethereum-1.10.14/vendor/github.com/status-im/keycard-go/vendor/github.com/ethereum/go-ethereum/crypto/secp256k1/ |
H A D | curve.go | 51 wordBytes = wordBits / 8 const 60 for j := 0; j < wordBytes && i > 0; j++ {
|
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/zpu/wishbone/ |
H A D | zpu_wb_bridge.vhd | 56 mem_writeMask : in std_logic_vector(wordBytes-1 downto 0);
|
H A D | zpu_system.vhd | 70 signal mem_writeMask : std_logic_vector(wordBytes-1 downto 0);
|
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/opencores/zpu/wishbone/ |
H A D | zpu_wb_bridge.vhd | 56 mem_writeMask : in std_logic_vector(wordBytes-1 downto 0);
|
H A D | zpu_system.vhd | 70 signal mem_writeMask : std_logic_vector(wordBytes-1 downto 0);
|
/dports/games/libretro-scummvm/scummvm-7b1e929/engines/lure/ |
H A D | surface.cpp | 423 int wordBytes = (int)(wordEnd - s + 1); in wordWrap() local 424 uint16 wordSize = (wordBytes == 0) ? 0 : textWidth(s, wordBytes); in wordWrap() 427 strncpy(wordBuffer, wordStart, wordBytes); in wordWrap() 428 wordBuffer[wordBytes] = '\0'; in wordWrap()
|
/dports/games/scummvm/scummvm-2.5.1/engines/lure/ |
H A D | surface.cpp | 425 int wordBytes = (int)(wordEnd - s + 1); in wordWrap() local 426 uint16 wordSize = (wordBytes == 0) ? 0 : textWidth(s, wordBytes); in wordWrap() 429 strncpy(wordBuffer, wordStart, wordBytes); in wordWrap() 430 wordBuffer[wordBytes] = '\0'; in wordWrap()
|
/dports/net-p2p/go-ethereum/go-ethereum-1.10.14/rlp/ |
H A D | encode.go | 394 const wordBytes = (32 << (uint64(^big.Word(0)) >> 63)) / 8 const 414 for j := 0; j < wordBytes && index > 0; j++ {
|