Home
last modified time | relevance | path

Searched refs:y90 (Results 1 – 25 of 47) sorted by relevance

12

/dports/lang/fpc-source/fpc-3.2.2/tests/test/cg/variants/
H A Divarol90.pp29 y90: char;
44 v := y90;
/dports/math/vtk8/VTK-8.2.0/ThirdParty/libproj/vtklibproj/src/
H A DPJ_igh.c73 const double y90 = Q->dy0 + sqrt(2); /* lt=90 corresponds to y=y0+sqrt(2) */ in s_inverse() local
76 if (xy.y > y90+EPSLN || xy.y < -y90+EPSLN) /* 0 */ in s_inverse()
/dports/math/vtk9/VTK-9.1.0/ThirdParty/libproj/vtklibproj/src/
H A DPJ_igh.c73 const double y90 = Q->dy0 + sqrt(2); /* lt=90 corresponds to y=y0+sqrt(2) */ in s_inverse() local
76 if (xy.y > y90+EPSLN || xy.y < -y90+EPSLN) /* 0 */ in s_inverse()
/dports/graphics/proj/proj-7.2.1/src/projections/
H A Digh.cpp96 const double y90 = Q->dy0 + sqrt(2.0); /* lt=90 corresponds to y=y0+sqrt(2) */ in igh_s_inverse() local
99 if (xy.y > y90+EPSLN || xy.y < -y90+EPSLN) /* 0 */ in igh_s_inverse()
H A Digh_o.cpp106 const double y90 = Q->dy0 + sqrt(2.0); /* lt=90 corresponds to y=y0+sqrt(2) */ in igh_o_s_inverse() local
109 if (xy.y > y90+EPSLN || xy.y < -y90+EPSLN) /* 0 */ in igh_o_s_inverse()
/dports/devel/boost-docs/boost_1_72_0/boost/geometry/srs/projections/proj/
H A Digh.hpp222 … const T y90 = this->m_proj_parm.dy0 + sqrt(c2); // lt=90 corresponds to y=y0+sqrt(2.0) in inv() local
225 if (xy_y > y90+epsilon || xy_y < -y90+epsilon) // 0 in inv()
/dports/devel/boost-python-libs/boost_1_72_0/boost/geometry/srs/projections/proj/
H A Digh.hpp222 … const T y90 = this->m_proj_parm.dy0 + sqrt(c2); // lt=90 corresponds to y=y0+sqrt(2.0) in inv() local
225 if (xy_y > y90+epsilon || xy_y < -y90+epsilon) // 0 in inv()
/dports/devel/R-cran-BH/BH/inst/include/boost/geometry/srs/projections/proj/
H A Digh.hpp222 … const T y90 = this->m_proj_parm.dy0 + sqrt(c2); // lt=90 corresponds to y=y0+sqrt(2.0) in inv() local
225 if (xy_y > y90+epsilon || xy_y < -y90+epsilon) // 0 in inv()
/dports/math/stanmath/math-4.2.0/lib/boost_1.75.0/boost/geometry/srs/projections/proj/
H A Digh.hpp222 … const T y90 = this->m_proj_parm.dy0 + sqrt(c2); // lt=90 corresponds to y=y0+sqrt(2.0) in inv() local
225 if (xy_y > y90+epsilon || xy_y < -y90+epsilon) // 0 in inv()
/dports/science/py-scipy/scipy-1.7.1/scipy/_lib/boost/boost/geometry/srs/projections/proj/
H A Digh.hpp222 … const T y90 = this->m_proj_parm.dy0 + sqrt(c2); // lt=90 corresponds to y=y0+sqrt(2.0) in inv() local
225 if (xy_y > y90+epsilon || xy_y < -y90+epsilon) // 0 in inv()
/dports/math/deal.ii/dealii-803d21ff957e349b3799cd3ef2c840bc78734305/bundled/boost-1.70.0/include/boost/geometry/srs/projections/proj/
H A Digh.hpp182 … const T y90 = this->m_proj_parm.dy0 + sqrt(c2); // lt=90 corresponds to y=y0+sqrt(2.0) in inv() local
185 if (xy_y > y90+epsilon || xy_y < -y90+epsilon) // 0 in inv()
/dports/devel/boost-libs/boost_1_72_0/boost/geometry/srs/projections/proj/
H A Digh.hpp222 … const T y90 = this->m_proj_parm.dy0 + sqrt(c2); // lt=90 corresponds to y=y0+sqrt(2.0) in inv() local
225 if (xy_y > y90+epsilon || xy_y < -y90+epsilon) // 0 in inv()
/dports/math/py-pystan/pystan-2.19.0.0/pystan/stan/lib/stan_math/lib/boost_1.69.0/boost/geometry/srs/projections/proj/
H A Digh.hpp182 … const T y90 = this->m_proj_parm.dy0 + sqrt(c2); // lt=90 corresponds to y=y0+sqrt(2.0) in inv() local
185 if (xy_y > y90+epsilon || xy_y < -y90+epsilon) // 0 in inv()
/dports/devel/hyperscan/boost_1_75_0/boost/geometry/srs/projections/proj/
H A Digh.hpp222 … const T y90 = this->m_proj_parm.dy0 + sqrt(c2); // lt=90 corresponds to y=y0+sqrt(2.0) in inv() local
225 if (xy_y > y90+epsilon || xy_y < -y90+epsilon) // 0 in inv()
/dports/print/font-amsfonts/amsfonts-3.02/fonts/source/public/amsfonts/cyrillic/
H A Dserb.mf119 x89l:=x89-.25u; penpos90(right_curve,0); y90=.5[y89,y91]; x90r=hround(w-u);
159 x89l:=x89-.25u; penpos90(right_curve,0); y90=.5[y89,y91]; x90r=hround(w-u);
187 x90=.5[x2,x4]; y90=0; x91=x90; y91=-d; pos90(cap_stem,0); pos91(cap_stem,0);
222 z90=z3l; x91=x1; y91=y90; pos90(bar,90); pos91(bar,90);
261 z90=z3l; x91=x1; y91=y90; pos90(bar,90); pos91(bar,90);
H A Dcyrti.mf50 %x89=x11; y89=.75[y2,y3]; x90=x0; y90=y89; pos89(hair,90); pos90(hair,90);
/dports/math/cadical/cadical-1.0.3-cb89cbf/test/cnf/
H A Dadd128.cnf82 c y90'0 = 76
/dports/math/openturns/openturns-1.18/python/test/
H A Dt_LinearLeastSquaresCalibration_linear.expout1 …71,y72,y73,y74,y75,y76,y77,y78,y79,y80,y81,y82,y83,y84,y85,y86,y87,y88,y89,y90,y91,y92,y93,y94,y95…
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue30/
H A Dbasicblocks.vhdl3657 y90: out std_logic; port
3791 y90 <= data when address = "1011010" else '0';
3930 y90: out std_logic_vector((N-1) downto 0); port
4066 y90: out std_logic; port in decoderNx128.struct_decoderNx128.decoder1x128
4203 y90 => y90(i),
5669 y90: out std_logic; port
5931 y90 <= data when address = "01011010" else '0';
6198 y90: out std_logic_vector((N-1) downto 0); port
6462 y90: out std_logic; port in decoderNx256.struct_decoderNx256.decoder1x256
6727 y90 => y90(i),
/dports/net-im/telegram-desktop/tdesktop-3.2.5-full/Telegram/ThirdParty/hime/data/
H A Darray40.cin4720 4y90
8021 6y90
8639 y90
/dports/science/nest/nest-simulator-3.1/doc/userdoc/model_details/
H A Dnoise_generator.ipynb579 …s3z2Kl1kzx3xAO+phMzLViNg+HSUbX3/qY0WCAK0cTvHwkzrPFMsedAo/mSs1UL4pyolDiRKHE+y90/xF9plBiR3hldS0Wixw7…
/dports/multimedia/mpeg_encode/mpeg_encode/jpeg/
H A Dtestimg.ppm4 …�>1�@0�A1�A2�B4�C5�?4�>3�@1�@/�@,�?)�?)�>'�>'�=)�;(�:)�;+�;+~<-{<.{>2x=2w:0y90~=2~=2{:/y9.?6�C9�H…
/dports/emulators/mess/mame-mame0226/3rdparty/libjpeg/
H A Dtestimg.ppm5 …�C<�C<�C?�D@�EA�C=�=9�;5�;5�<6�<5�<6�=5�=4<3~<0=1�<1~:/~:/~:/}9.|90{8/{8/y90{;2{;2z:1x92w81v70v7…
/dports/graphics/argyllcms/Argyll_V1.9.2/jpeg/
H A Dtestimg.ppm5 …�C<�C<�C?�D@�EA�C=�=9�;5�;5�<6�<5�<6�=5�=4<3~<0=1�<1~:/~:/~:/}9.|90{8/{8/y90{;2{;2z:1x92w81v70v7…
/dports/cad/openctm/OpenCTM-1.0.3/tools/jpeg/
H A Dtestimg.ppm5 …�C<�C<�C?�D@�EA�C=�=9�;5�;5�<6�<5�<6�=5�=4<3~<0=1�<1~:/~:/~:/}9.|90{8/{8/y90{;2{;2z:1x92w81v70v7…

12