Home
last modified time | relevance | path

Searched defs:out68 (Results 1 – 2 of 2) sorted by relevance

/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/perf02-long/
H A Dfsm_163.vhd101 out68 : out std_logic; port
H A Dtop.vhd397 out68 : out std_logic; port in top.augh.fsm_163