1<?xml version="1.0" encoding="utf-8"?>
2<circuit>
3  <version>1</version>
4  <attributes/>
5  <visualElements>
6    <visualElement>
7      <elementName>Testcase</elementName>
8      <elementAttributes>
9        <entry>
10          <string>Label</string>
11          <string>Test 1</string>
12        </entry>
13        <entry>
14          <string>Testdata</string>
15          <testData>
16            <dataString>C Out
17
18program(1,2,3,4)
19
200  1
21C  2
22C  3
23C  4
24C  0
25</dataString>
26          </testData>
27        </entry>
28      </elementAttributes>
29      <pos x="260" y="360"/>
30    </visualElement>
31    <visualElement>
32      <elementName>Out</elementName>
33      <elementAttributes>
34        <entry>
35          <string>Label</string>
36          <string>Out</string>
37        </entry>
38        <entry>
39          <string>Bits</string>
40          <int>8</int>
41        </entry>
42      </elementAttributes>
43      <pos x="480" y="260"/>
44    </visualElement>
45    <visualElement>
46      <elementName>Counter</elementName>
47      <elementAttributes>
48        <entry>
49          <string>Bits</string>
50          <int>8</int>
51        </entry>
52      </elementAttributes>
53      <pos x="300" y="240"/>
54    </visualElement>
55    <visualElement>
56      <elementName>VDD</elementName>
57      <elementAttributes/>
58      <pos x="280" y="220"/>
59    </visualElement>
60    <visualElement>
61      <elementName>Ground</elementName>
62      <elementAttributes/>
63      <pos x="280" y="300"/>
64    </visualElement>
65    <visualElement>
66      <elementName>Clock</elementName>
67      <elementAttributes>
68        <entry>
69          <string>Label</string>
70          <string>C</string>
71        </entry>
72      </elementAttributes>
73      <pos x="260" y="260"/>
74    </visualElement>
75    <visualElement>
76      <elementName>ROM</elementName>
77      <elementAttributes>
78        <entry>
79          <string>AddrBits</string>
80          <int>8</int>
81        </entry>
82        <entry>
83          <string>isProgramMemory</string>
84          <boolean>true</boolean>
85        </entry>
86        <entry>
87          <string>Bits</string>
88          <int>8</int>
89        </entry>
90      </elementAttributes>
91      <pos x="400" y="240"/>
92    </visualElement>
93    <visualElement>
94      <elementName>VDD</elementName>
95      <elementAttributes/>
96      <pos x="380" y="260"/>
97    </visualElement>
98    <visualElement>
99      <elementName>Testcase</elementName>
100      <elementAttributes>
101        <entry>
102          <string>Label</string>
103          <string>Test 2</string>
104        </entry>
105        <entry>
106          <string>Testdata</string>
107          <testData>
108            <dataString>C Out
109
110program(0x5,0x6,0x7,0x8)
111
1120  5
113C  6
114C  7
115C  8
116C  0
117</dataString>
118          </testData>
119        </entry>
120      </elementAttributes>
121      <pos x="380" y="360"/>
122    </visualElement>
123  </visualElements>
124  <wires>
125    <wire>
126      <p1 x="280" y="240"/>
127      <p2 x="300" y="240"/>
128    </wire>
129    <wire>
130      <p1 x="360" y="240"/>
131      <p2 x="400" y="240"/>
132    </wire>
133    <wire>
134      <p1 x="460" y="260"/>
135      <p2 x="480" y="260"/>
136    </wire>
137    <wire>
138      <p1 x="260" y="260"/>
139      <p2 x="300" y="260"/>
140    </wire>
141    <wire>
142      <p1 x="280" y="280"/>
143      <p2 x="300" y="280"/>
144    </wire>
145    <wire>
146      <p1 x="380" y="280"/>
147      <p2 x="400" y="280"/>
148    </wire>
149    <wire>
150      <p1 x="280" y="220"/>
151      <p2 x="280" y="240"/>
152    </wire>
153    <wire>
154      <p1 x="280" y="280"/>
155      <p2 x="280" y="300"/>
156    </wire>
157    <wire>
158      <p1 x="380" y="260"/>
159      <p2 x="380" y="280"/>
160    </wire>
161  </wires>
162  <measurementOrdering/>
163</circuit>