1entity tb_thingy is
2end tb_thingy;
3
4architecture tb of tb_thingy is
5	component thingy is
6		port (
7			x_x : in bit;
8			y_y : out bit
9		);
10	end component;
11	signal stimuli : bit;
12	signal response : bit;
13begin
14
15	dut : thingy
16	port map (
17		x-x => stimuli, -- <== spelling error
18		y_y => response
19	);
20
21end tb;
22