1library ieee;
2use ieee.std_logic_1164.all;
3
4entity and3 is
5  port (a, b, c : std_logic;
6        o : out std_logic);
7end and3;
8
9architecture behav of and3 is
10begin
11  o <= a and b and c;
12end behav;
13