1entity nvc_bug is
2end nvc_bug;
3
4architecture behav of nvc_bug is
5    type std_logic_vector is array (integer range <>) of integer;
6
7    function to_bitvector(x : std_logic_vector) return bit_vector;
8
9    signal mode : std_logic_vector(1 downto 0);
10
11begin
12
13    process
14        subtype modetype is bit_vector(mode'range);
15    begin
16        case modetype'(to_bitvector(mode)) is
17            when "00" =>
18            when "01" =>
19            when "10" =>
20            when "11" =>
21            when others =>
22        end case;
23
24        assert false report "end of test" severity note;
25        wait;
26    end process;
27end behav;
28