1\font-encoding			iso8859-14
2\display-encoding		iso8859-14
3\messages-encoding		utf-8
4\verilog-encoding		utf-8
5\postscript-encoding	utf-8
6\language				cymraeg
7
8b.dismiss	Gwaredu
9b.close	Cau
10b.ok	Iawn
11b.clear	Clirio
12b.cancel	Diddymu
13b.in	i mewn
14b.out	allan
15b.inout	mewnallan
16b.browse	Pori...
17b.label	Label
18b.find	Canfod
19b.edit	Golygu...
20b.add	Ychanegu...
21b.delete	Dileu
22b.apply	Gweithredu
23b.anchor	Angor
24b.tech	Technoleg
25tab.simulate	Efelychu
26tab.cpath	Llwybr Allweddol
27sidetab.nets	Rhwydau
28sidetab.ports	Pyrth
29mm.add	Ychanegu...
30mm.delete	Dileu
31mm.edit	Golygu...
32menu.file	0:Ffeil
33menu.edit	0:Golygu
34menu.tool	0:Erfyn
35menu.simulate	0:Efelychu
36menu.module	0:Modiwl
37menu.make	0:Gwneud
38menu.file.new	0:Newydd...
39menu.file.open	0:Agor...
40menu.file.openlib	0:Agor Rhaglengell...
41menu.file.save	0:Cadw
42menu.file.saveas	5:Cadw...
43menu.file.print	0:Argraffu...
44menu.file.quit	0:Gadael
45menu.edit.undo	0:Dadwneud
46menu.edit.redo	0:Ailwneud
47menu.edit.cut	0:Torri
48menu.edit.copy	3:Copio
49menu.edit.paste	0:Gludo
50menu.edit.selall	0:Dewis Popeth
51menu.edit.find	0:Canfod...
52menu.edit.zoomin	5:Chwyddo
53menu.edit.zoomout	5:Pellhau
54menu.tool.move	0:Symud/Cysylltu
55menu.tool.delg	0:Dileu Giat
56menu.tool.cutw	0:Torri Gwifren
57menu.tool.inv	0:Gwrth-droi
58menu.tool.bitw	0:Lled Did
59menu.tool.debug	0:Datnamu
60menu.format.algnv	6:Cyfunio Fert.
61menu.format.algnh	6:Cyfunio Llor.
62menu.simulate.begin	0:Dechrau Efelychiad
63menu.simulate.end	0:Gorffen Efelychiad
64menu.simulate.addprobe	0:Ychanegu/Dileu Holiad
65menu.simulate.run	0:Rhedeg
66menu.simulate.pause	0:Seibio
67menu.simulate.step	0:Cam Cyfnod(au)
68menu.simulate.cycle	5:Cam Cylchred
69menu.simulate.break	0:Torbwynt...
70menu.simulate.script	1:Gweith. Sgript...
71menu.simulate.load	1:Llwytho Cof...
72menu.simulate.dump	1:Dadlwytho...
73menu.module.open	0:Agor
74menu.module.close	0:Cau
75menu.module.new	0:Newydd...
76menu.module.del	0:Dileu...
77menu.module.copy	1:Copio...
78menu.module.rename	0:Ailenwi...
79menu.module.claim	1:Honni...
80menu.help.about	0:Ynghylch...
81menu.help.license	0:Trwydded...
82menu.help.doc	0:Dogfennaeth...
83menu.help.tut	1:Tiwtorialau
84menu.help.example	1:Enghraifftiau
85menu.scope_file	0:Ffeil
86menu.scope_sim	0:Efelychu
87menu.scope_file.ptrace	0:Argraffu Olin...
88menu.scope_file.close	0:Cau
89menu.scope_trace.zoomin	5:Chwyddo
90menu.scope_trace.zoomout	5:Pellhau
91menu.scope_sim.addprobe	0:Ychanegu/Dileu Holiad
92menu.scope_sim.run	0:Rhedeg
93menu.scope_sim.pause	0:Seibio
94menu.scope_sim.step	0:Cam Cyfnod(au)
95menu.scope_sim.cycle	5:Cam Cylchred
96menu.scope_sim.break	0:Torbwynt...
97menu.scope_sim.script	1:Gweith. Sgript...
98menu.scope_sim.load	1:Llwytho Cof...
99menu.scope_sim.dump	1:Dadlwytho...
100menu.scope_help.about	0:Ynghylch...
101menu.scope_help.license	0:Trwydded...
102menu.scope_help.doc	0:Dogfennaeth...
103gm.io	I/O
104gm.gate	Giat
105gm.rgate	Lleihad
106gm.msi	MSI
107gm.alu	ALU
108gm.mem	Cof
109gm.mod	Modiwl
110gm.comment	Sylwad
111gm.frame	Ffram
112gm.io.switch	Swits
113gm.io.dip	Swits DIP
114gm.io.merge	Cyfunio Gwifren
115gm.io.clock	Cloc
116gm.io.led	LED
117gm.io.ledbar	Bar LED
118gm.io.ledhex	LED 7-Rhan (HEX)
119gm.io.leddec	LED 7-Rhan (DEC)
120gm.io.ledseg	LED 7-Rhan (Syth)
121gm.io.tty	TTY
122gm.gate.and	AND
123gm.gate.nand	NAND
124gm.gate.or	OR
125gm.gate.nor	NOR
126gm.gate.xor	XOR
127gm.gate.xnor	XNOR
128gm.gate.buf	Byffer
129gm.gate.inv	Gwrth-droydd
130gm.gate.tribuf	Tri-Byffer
131gm.gate.nmos	NMOS
132gm.gate.pmos	PMOS
133gm.rgate.and	AND
134gm.rgate.nand	NAND
135gm.rgate.or	OR
136gm.rgate.nor	NOR
137gm.rgate.xor	XOR
138gm.rgate.xnor	XNOR
139gm.alu.add	Ychwanegydd
140gm.alu.mult	Lluosydd
141gm.alu.div	Rhannydd
142gm.alu.lshift	Syfliad i'r Chwith
143gm.alu.rshift	Syfliad i'r De
144gm.alu.arshift	Syfliad Rhifyddol i'r De
145gm.alu.roll	Rholio
146gm.mem.reg	Cofrestru
147gm.mem.ff	Fflip-Fflop
148gm.mem.ram	RAM
149gm.mem.rom	ROM
150gm.msi.21mux	2-1 Amlblygydd
151gm.msi.41mux	4-1 Amlblygydd
152gm.msi.81mux	8-1 Amlblygydd
153gm.msi.12dec	1-2 Datgodiwr
154gm.msi.14dec	1-4 Datgodiwr
155gm.msi.18dec	1-8 Datgodiwr
156gm.mod.inst	Enghraifft y Modiwl
157gm.mod.in	Mewnbwn y Modiwl
158gm.mod.out	Allbwn y Modiwl
159gm.mod.inout	Mewnallan y Modiwl
160pop.gate.close	Cau
161pop.gate.delete	Dileu
162pop.interface.edit	Golygu...
163pop.igen.type	Math
164pop.igen.delete	Dileu
165pop.igen.in	i mewn
166pop.igen.out	allan
167pop.igen.inout	mewnallan
168ifile	Ffeil
169imodule	Modiwl
170iblmodule	Modiwlau
171iports	Pyrth
172ibits	Didau:
173db.mod.file	Enw Ffeil
174db.mod.type	Math
175db.net.name	Enw Rhwyd
176db.net.hide	Cuddio Enw
177db.net.bits	Lled Did
178db.net.port	Math Porth
179db.net.in	i mewn
180db.net.out	allan
181db.net.inout	mewnallan
182db.net.inets	Rhwydau
183db.newcirc.file	Enw Ffeil
184db.gate.signam	Enw Signal
185db.gate.portnam	Enw Porth
186db.gate.iotype	Math
187db.gate.bitw	Lled Did
188db.gate.cyclew	Lled Cylch
189db.gate.phase	Cydwedd
190db.gate.duty	Dyletswydd
191db.gate.port	Porth
192db.gate.bitws	Didau
193db.gate.gtype	Math
194db.gate.gname	Enw Giat
195db.gate.hidenam	Cuddio Enw
196db.gate.anchor	Angor
197db.gate.cpbreak	Rhaniad Llwybr Allweddol
198db.gate.butstate	Cyflwr Botwm
199db.gate.dipval	Gwerth Swits
200db.gate.memfilenam	Ffeil Cof
201db.gate.modname	Math
202db.gate.general	Cyffredinol
203db.gate.details	Manylion
204db.gate.ports	Porth
205db.gate.delay	Oediad
206db.gate.stddelay	Oediad Safonol
207db.gate.custdelay	Oediad Dewisiadol
208db.gate.delete	Dileu
209db.gate.led.type	Math LED
210db.gate.led.bit.hdr	Did
211db.gate.led.bar.hdr	Graff Bar
212db.gate.led.hex.hdr	LED 7-Rhan (HEX)
213db.gate.led.dec.hdr	LED 7-Rhan (DEC)
214db.gate.led.seg.hdr	LED 7-Rhan (Syth)
215db.hdl.cancel	Diddymu
216print.printer	Argraffydd:
217print.file	Ffeil
218print.prcmd	Gorchymyn Argraffu:
219print.filename	Enw Ffeil
220print.epssave	Cadw fel Encapsulated Postscript.
221print.epcm	Cyfnodau/cm
222print.estpg	Tudalennau (amcangyfrif)
223print.all	Popeth
224print.cur	Cyfredol
225print.use	Defnyddiwyd
226print.sel	Dewisiedig
227print.inclib	Cynnwys Modiwlau Rhaglengell.
228print.papsz	Maint Papur
229print.orient	Cyfeiriadaeth
230print.portrait	Darlun
231print.landscape	Tirlun
232print.2side	Argraffu ar y Ddwy Ochr
233print.opts	Dewisiadau
234print.scale	Graddio modiwlau mawr i ffitio ar y dudalen.
235print.partition	Rhannu modiwlau mawr i wneud tudalennau lluosol.
236print.incidx	Cynnwys mynegai.
237print.incgraph	Cynnwys graff hierarchaeth.
238print.4up	Argraffu modiwlau bach pedwar i'r dudalen.
239print.fulltr	Oliniad Cyflawn
240print.parttr	Oliniad Rhannol
241print.start	Dechrau
242print.range	Dewis Amrediad
243print.ok	Argraffu
244print.tab.modules	Modiwlau
245opt.general	Cyffredinol
246opt.print	Argraffu
247opt.simulate	Efelychu
248opt.simulate.tech	Technoleg
249opt.analysis	Dadansoddiad
250opt.color	Lliw
251opt.debug	Alluogi Datnamu
252opt.site	Enw Safle
253opt.inst	Enghreifftiau
254opt.mods	Modiwlau
255opt.modports	Pyrth Modiwlau
256opt.frames	Fframau
257opt.comment	Sylwadau
258opt.hlink	Gorgysylltau
259opt.wire	Gwifrau Did-Sengl
260opt.bus	Gwifrau Aml-Ddid
261opt.tools	Offer Giat
262opt.cpath	Llwybr Allweddol
263opt.grid	Grid Sgop
264opt.logic1	Rhesymeg Un
265opt.logic0	Rhesymeg Sero
266opt.float	Arnofio
267opt.unknown	Anhysbys/Gwrthdaro
268opt.offled	LED i Ffwrdd
269opt.onled	LED Arnodd
270opt.zled	LED Arnofio
271opt.restdef	Adfer Rhagosodiadau
272opt.regudate	Diweddaru Ardal
273opt.smoothscroll	Sgrolio Llyfn
274opt.balloon	Alluogi Balwnau Cymorth
275opt.novice	Modd Dechreuwr
276opt.freq	Saib
277opt.beak	Alluogi Moddau Arbennig
278opt.bat	Bat
279opt.trek	Star Trek
280opt.miles	Milltiroedd
281opt.contver	Cywiro Cyfanrwydd yn Awtomatig
282opt.showsimcmd	Dangos Llif Efelychydd
283opt.estepsz	Maint Cam Cyfnod
284opt.cstepsz	Maint Cam Cylchred Cloc
285opt.overstep	Drosgam Cloc
286opt.vpopupdelay	Oediad Newidlen Gwerthoedd
287opt.posedge	Mae'r cam cloc yn aros ar bob ymyl positif y cloc
288opt.setclock	Mae'r cam cloc yn aros ar gloc
289opt.delayfilepath	Ffeiliau Oediad
290opt.undolen	Datwneud Uchafrif
291opt.cpopts	Dewisiadau Llwybr Allweddol
292opt.maxpath	Uchafrif o Lwybrau:
293opt.cpflash	Dangos efo Llwybr sy'n Fflachio
294opt.tool.file	Ffeil
295opt.tool.module	Modiwl
296opt.tool.tech	Technoleg
297opt.tool.symport	Pyrth
298opt.editor.comments	Sylwadau
299opt.editor.color	Lliw
300opt.vercheck	-begin-
301Chwilio dros y Rhyngrwyd bob hyn a hyn am fersiynau newydd o tkgate.
302Peidiwch a galluogi os nid oes ganddoch cyrchiad parhaol
303i'r Rhyngrwyd.
304-end-
305igen.port	Porth
306igen.type	Math
307igen.bits	Didau
308igen.delete	Dileu
309blklst.claim	Hawlio Modiwl
310blklst.to	I
311blklst.from	Copio Modiwl Oddiwrth
312blklst.new.cap	Modiwl Newydd
313blklst.del.cap	Dileu Modiwl
314blklst.claim.cap	Hawlio Modiwl
315find.result.module	Modiwl
316find.result.type	Math
317circ.file	Enw Ffeil
318circ.discchg	Diddymu Newidiadau.
319circ.iniscript	Sgriptiau Ymgychwyn
320circ.general	Cyffredinol
321cp.delay	Oediad Llwybr:
322cp.pathl	Rhestr Llwybrau:
323cp.numpath	Nifer Llwybrau:
324cp.recompute	Ail-gyfrifiannu
325err.nopin	Methu newid pin penodol.
326err.badhex	Gwerth hex annilys '%s' wedi ei anwybyddu.
327err.gatanchor	Mae'r giat(iau) wedi'i anghori - ni ellir ei symud.
328err.badfind	Methu canfod cyrchfan '%s'.
329err.badopendel	Methu dileu modiwl ar agor.
330err.nosrcmod	Methu canfod modiwl tarddiad '%s'.
331err.noerr	Methu lleoli'r gwall.
332err.misserr	Gwybodaeth gwall anghyflawn (chwalodd yr efelychydd?)
333err.badinadd	Methu ychwanegu rhagor o fewnbynnau i'r giat penodol.
334err.badoutadd	Methu ychwanegu rhagor o allbynnau i'r giat penodol.
335err.badinoutadd	Methu ychwanegu rhagor o pinnau mewnallan i'r giat penodol.
336err.badpinchg	Methu newid math y pin ar y giat penodol.
337err.badnetname	Wedi dileu nodau annilys yn y dynodydd.
338err.netbcrename	Dynodydd wedi eu ail-enwi i '%s' o achos nodau annilys.
339err.netconfnet	Dynodydd wedi eu ail-enwi i '%s' o achos gwrthdaro.
340err.netconfgat	Dynodydd wedi eu ail-enwi i osgoi gwrthdaro efo enw giat cyntefig
341err.netconfkw	Dynodydd wedi eu ail-enwi i osgoi gwrthdaro efo gair cadw.
342err.badconsame	Gwrthodwyd y cysylltiad o achos mae gwifrau yn rhan o'r un un rhwyd.
343err.badconptsp	Gwrthodwyd y cysylltiad o achos mae'r ddwy wifren yn byrth modiwl neu yn darparu.
344err.badconbitw	Gwrthodwyd y cysylltiad o achos nid yw'r lledau did yn cydweddu.
345err.badrange	Ni chaniateir amrediad di-bositif i oliniad sgop.
346err.badlprcmd	Methu gweithredu gorchymyn argraffydd '%s'.
347err.badpsopen	Methu agor ffeil '%s' am allbwn postscript.
348err.badid	Dynodydd annilys '%s'.
349err.bkpt.badexp	Gwall cystrawen yn y mynegiad torbwynt. Rhaid iddo fod yn un o: 'net', '!net', 'net==value', 'net!=value'
350err.bkpt.badnet	Enw rhwyd annilys '%s'. Rhaid i enwau ddechrau efo llythyren a cynnwys dim ond llythrennau, digidau a '.'.
351err.bkpt.badval	Gwall cystrawen yn y gwerth '%s'. Rhaid iddo fod yn gysonyn degol neu ardull verilog.
352err.bkpt.toomany	Gormod o dorbwyntiau. Yr uchafswm yw %d.
353err.sim.badtmp	Methu cadw ffeil dros dro '%s' am yr efelychydd (disg lawn?)
354err.sim.syntx	Gwall cystrawen yn y gorchymyn '%s'.
355err.sim.noincl	Methu canfod ffeil cynwysadwy '%s'.
356err.sim.nobkpt	Dim torbwynt '%s'.
357err.sim.nonet	Methu canfod rhwyd '%s'.
358err.sim.nogate	Methu canfod giat '%s'.
359err.sim.badbin	Nod annilys mewn cysonyn deuaidd '%s'.
360err.sim.notswitch	Nid yw giat '%s' yn swits, DIP neu cofrestr.
361err.sim.badcmd	Gorchymyn di-adnabyddiedig '%s' yn y sgript efelychydd.
362err.sim.isrun	Mae'r efelychydd yn rhedeg yn barod. (Defnyddiwch 'Ctrl-s e' i derfynu).
363err.noteditpchg	Ni ellir newid priodweddau ond mewn modd golygu.
364err.badeditop	Gweithrediad annilys mewn modd golygu rhyngwyneb.
365err.badopen	Methu agor ffeil mewnbwn '%s'.
366err.badlibopen	Methu agor ffeil rhaglengell '%s'.
367err.nomark	Gosodwch nod efo botwm chwith y llygoden cyn dewis math o giat.
368err.badgate	Math giat anhysbys.
369err.badportadd	Methu ychwanegu pyrth i giatiau o'r math yma.
370err.manypages	-begin-
371Mae 'na gryn dipyn o dudalennau
372yn y ddogfen yma. Ydych yn sicr
373yr ydych eisiau ei argraffu?
374-end-
375err.nojump	-begin-
376Ni ellir y nodwedd 'neidio-i-fodiwl' gael ei
377ddefnyddio mewn modd efelychu neu dadansoddiad
378llwybr allweddol. Symudwch a llaw i'r bloc
379cyrchfan gan ddewis modiwlau ac eu agor efo'r
380gorchymyn allweddell '>'. Cewch adael y
381modiwl lle rydych efo'r gorchymyn allwedell '<'.
382-end-
383err.nomod	Ni ddiffinir y modiwl '%s'.
384err.modlock	Mae'r y Bloc Rhesymeg ar glo!
385err.editonly	Nid yw'r gorchymyn yn ddilys mewn modd efelychu.
386err.simonly	Mae'r gorchymyn yn ddilys dim ond mewn modd efelychu.
387err.nodel	Dim dewisiad a all ei ddileu.
388err.modnotdef	Ni ddiffinir modiwl '%s'.
389err.moddef	Mae'r bloc '%s' yn bodoli yn barod.
390err.noprop	Nid oes gan y giat penodol priodweddau golygadwy.
391err.openscript	Methu agor ffeil sgript efelychiad '%s'
392err.oldversion	Wedi llwytho ffeil efo fersiwn anarferedig %s (%s yw'r fersiwn cyfredol).
393err.futureversion	Wedi llwytho ffeil a chedwyd gan fersiwn tkgate dyfodol %s (mae'r fersiwn yma yn %s).
394err.badversion	Rhif fersiwn anhysbys. Defnyddiwch ar eich menter eich hun.
395err.nodrive	Nid oes gan rwyd %s yrrydd ym modiwl %s.
396err.noconn	Nid oes gan y wifren %s{%d} gysylltiadau - dileuwyd.
397err.oldportact	De-gliciwch ar ymyl y porth neu modiwl i ychwanegu/newid y porth.
398err.noback	-begin-
399Creuwyd y ffeil gan tkgate
400%s, ac efallai nid yw'n ddarllenadwy
401gan fersiynau cynharach na'r
402fersiwn cyfredol (%s) os byddwch
403yn cadw.
404-end-
405msg.selwire	Gwifren penodol o'r enw '%s'.
406msg.selgate	%s penodol o'r enw '%s'.
407msg.selblock	Bloc %s penodol o'r enw '%s'.
408msg.modoverwt	Modiwl cyrchfan '%s' yn bodoli yn barod. Ysgrifennu drosto?
409msg.foundgate	Wedi canfod giat o'r enw '%s'.
410msg.foundwire	Wedi canfod gwifren o'r enw '%s'.
411msg.searchagn	Methu canfod llinyn cyrchfan '%s'. Gwthio 'canfod' i ail-ddechrau'r chwiliad.
412msg.sim.chgtoroot	Mae'r modd efelychu yn gorfod cael y modiwl gwraidd wrth pen y pentwr golygu.
413msg.sim.nogatemod	Mae'r modd efelychu yn gorfod cael pentwr modiwl diriaethol.
414msg.setinterface	Gosod rhyngrwyd modiwl am '%s'.
415msg.needsel	Dewiswch enghraifft modiwl.
416msg.wroteckpt	Rheolfa wrth %s...
417msg.save	Wedi cadw cylched i '%s'.
418msg.reallynew	Newidwyd y gylched. Ydych wir eisiau ei dileu a creu cylched newydd?
419msg.reallyquit	Newidwyd y gylched. Ydych wir eisiau terfynnu?
420msg.notlib	Nid yw modiwl '%s' yn fodiwl rhaglengell.
421miles.msg1	'Mond twpsyn sy'n rhoi %s%s yna, Miles.
422miles.msg2	Dyna le wir dwp i roi %s%s, Miles.
423miles.msg3	Dyna le ofnadwy am %s%s, Miles.
424miles.msg4	Miles, roedd yn hollol dwp rhoi %s%s yna.
425miles.msg5	Neb ond ti fuasai'n rhoi %s%s yna, Miles.
426miles.msg6	Mae rhoi %s%s yna yn brawf o dy dwpdra, Miles.
427miles.msg7	Beth am roi'r ffidil yn y to, Miles, cylched gwirion yw hwn.
428miles.msg8a	'Sdim pwynt mynd ymlaen, Miles.
429miles.msg8b	Mae'n amlwg na alli di ddylunio cylched
430license1	-begin-
431Mae TtGate yn feddalwedd rhydd; gallwch ei hailddosbarthu a/neu ei
432haddasu dan delerau Trwydded Gyhoeddus Gyffredinol GNU fel y'i
433cyhoeddwyd gan y Free Software Foundation; naill ai fersiwn 2 y
434Drwydded, neu (yn ôl eich dewis) unrhyw fersiwn diweddarach.
435-end-
436license2	-begin-
437Mae TtGate yn cael ei dosbarthu yn y gobaith y bydd yn
438ddefnyddiol, ond HEB UNRHYW WARANT; heb hyd yn oed y warant
439oblygedig o FARSIANDÏAETH neu FFITRWYDD AT BWRPAS ARBENNIG.
440Gweler Trwydded Gyhoeddus Gyffredinol GNU am ragor o fanylion.
441-end-
442license3	-begin-
443Dylech fod wedi derbyn copi o Drwydded Gyhoeddus Gyffredinol GNU
444ynghyd â'r rhaglen hon; os na, ysgrifennwch at y Free Software
445Foundation, Inc., 51 Temple Place, Suite 330, Boston MA 02110-1301 USA.
446-end-
447ho.new	-begin-
448Clirio'r cylched cyfredol,
449a dechrau golygu un newydd.
450-end-
451ho.open	Agor cylched o ffeil.
452ho.save	Cadw cylched i'r ffeil cyfredol.
453ho.print	Argraffu cylched cyfredol.
454ho.move	-begin-
455Symud/Cysylltu - Gan glicio a tynnu cewch:
456Gosod y safle i giat newydd
457Symud giatiau a gwifrau
458Cysylltu gwifrau
459Gan ddwbl-glicio cewch:
460Golygu priodweddau giat, gwifren a porth
461Golygu testun sylwad
462-end-
463ho.delgat	-begin-
464Dileu Giat - Defnyddio'r efyn yma
465i ddileu giatiau.
466-end-
467ho.cutw	-begin-
468Torri Gwifren - Defnyddio'r erfyn yma
469i dorri gwifrau.
470-end-
471ho.inv	-begin-
472Gwrthdroi - Defnyddio'r erfyn i ychwanegu
473gwrthdroyddion i, neu eu gwaredu o,
474byrth giatiau.
475-end-
476ho.bitw	-begin-
477Lled Did - Defnyddio'r erfyn yma i newid
478maint did gwifren. Mewnosodwch nifer y
479didau yn y blwch mewnosodi yng nghornel
480dde isaf y ffenest.
481-end-
482ho.undo	Datwneud gweithrediad(au) cynt.
483ho.redo	Ailwneud gweithrediad(au) a chafodd ei datwneud.
484ho.simgo	-begin-
485Os nid oes efelychiad yn rhedeg, dechrau'r
486efelychiad a gweithredu unrhyw sgriptiau
487ymgychwyn. Os mae efelychiad yn rhedeg,
488rhedeg yr efelychiad yn barhaol.
489-end-
490ho.simpause	Seibio efelychiad sy'n rhedeg yn barhaol.
491ho.simstep	-begin-
492Camu cyfnod penodol o amser.
493Agor y ffenest dewisiadau efelychiad
494er mwyn gosod maint y cam.
495-end-
496ho.simclock	-begin-
497Camu rhif penodol o gylchredau cloc.
498Agor y ffenest dewisiadau efelychiad
499er mwyn gosod maint y cam.
500-end-
501ho.simstop	-begin-
502Gorffen yr efelychiad cyfredol
503a dychwelyd i'r modd golygu.
504-end-
505ho.simbreak	-begin-
506Golygu'r torbwyntiau cyfredol. Arhosir
507yr efelychiad pan bydd amod torbwynt yn
508dod yn wir.
509-end-
510ho.simexec	Gweithredu sgript efelychiad.
511ho.simload	-begin-
512Llwytho cof efo cynnwys
513ffeil .mem.
514-end-
515ho.simdump	-begin-
516Dadlwytho cynnwys cof i
517ffeil .mem.
518-end-
519ho.modlist	-begin-
520Rhestr o bob modiwl sydd wedi ei lwytho ar hyn o bryd.
521Dynodir y modiwl safon pennaf gan "+" sy'n dilyn,
522a dangosir modiwlau rhaglengell mewn cromfachau.
523-end-
524ho.netlist	-begin-
525Rhestr o rhwydau yn y modiwl cyfredol.
526Mae gan rwydau a ddynodir efo '@' enwau
527cudd. Dangosir rhwydau aml-did efo
528amrediad ar eu ol.
529-end-
530ho.ports	-begin-
531Rhestr o byrth ar y modiwl cyfredol.
532Mae '>' yn dynodi mewnbwn, '<' yn dynodi
533allbwn, a '=' yn dynodi mewnallan.
534-end-
535ho.status.logo	-begin-
536Dynodydd cyflwr yr efelychiad. Os dangosir y
537logo giat haearn, mae tkgate mewn modd golygu.
538Os dangosir y giat AND pedwartroed, mae tkgate
539mewn modd efelychu. Os mae'r giat AND yn stond,
540mae'r efelychiad wedi'i seibio, ac os mae'n
541cerdded, mae'r efelychiad yn rhedeg.
542-end-
543ho.status.msg	Dangosir yma negeseuon oddiwrth TkGate.
544ho.status.block	Pentwr y modiwlau sy'n cael eu golygu.
545ho.status.file	-begin-
546Y ffeil cyfredol sy'n cael ei olygu. Mae '*' yn
547dynodi bod a byffer wedi ei newid ers y cadw diwethaf.
548-end-
549ho.print.selall	Argraffu pob modiwl sydd wedi ei lwytho ar hyn o bryd gan TKGate.
550ho.print.selcur	Argraffu dim ond y modiwl cyfredol yn y ffenest golygu TKGate.
551ho.print.seluse	-begin-
552Argraffu pob modiwl sy'n "mewn defnydd".
553Modiwlau mewn defnydd yw'r rhain sy'n ddisgynnydd
554o'r modiwl gwraidd.
555-end-
556ho.print.selsel	-begin-
557Argraffu dim ond y modiwlau dewisiedig isod.
558Defnyddiwch y fysell Control i ddewis modiwlau
559lluosol.
560-end-
561ho.print.modlist	Dewis y modiwlau i gael eu argraffu.
562ho.circuit.discchg	-begin-
563Ni fydd TkGate yn dangos rhybudd os byddwch
564yn taflu newidiadau heb gadw.
565-end-
566ho.circuit.extbar	-begin-
567Bydd giatiau sylfaenol (AND, OR, XOR) yn
568defnyddio bariau estynnol i drin llawer
569o giatiau mewnbwn.
570-end-
571ho.cmd.bitw	-begin-
572Bydd gwifrau a ddewisir efo'r
573cyrchwr yn cael eu gosod i'r
574lled did yma.
575-end-
576ho.edgat.signam	-begin-
577Enw'r signal penodol ar y giat
578penodol. Cewch newid y lled did
579gan ei olygu yma.
580-end-
581ho.edgat.port	-begin-
582Cyfeiriad y porth am y signal yma ar
583y giat. Gellir golygu'r maes yma dim
584ond am enghreifftiau modiwl.
585-end-
586ho.edgat.iolab	-begin-
587Cyfeiriad y signal penodol ar y giat
588penodol. Gellir golygu'r maes yma dim
589ond am enghreifftiau modiwl.
590-end-
591ho.edgat.bitlab	-begin-
592Lled did y signal penodol ar y giat
593penodol. Cewch newid y lled did
594gan ei olygu yma.
595-end-
596ho.edgat.cycle	Nifer cyflawn o gyfnodau mewn cylchred cloc.
597ho.edgat.phase	Pwynt cychwyn y cylchred (y cant).
598ho.edgat.duty	Canran y cylchred, mae'r cloc yn isel.
599ho.edgat.hide	-begin-
600Set this flag to disable
601display of gate name.
602-end-
603ho.edgat.gtype	Math y giat.
604ho.edgat.gname	Enw'r enghraifft yma o'r giat.
605ho.edgat.ganchor	Set this flag to anchor the position of a gate.
606ho.edgat.gx	Cyfesuryn X y giat.
607ho.edgat.gy	Cyfesuryn Y y giat.
608ho.edgat.swstate	-begin-
609Cyflwr cychwynnol y swits i ddefnyddio
610wrth ddechrau efelychiad.
611-end-
612ho.edgat.dipstate	-begin-
613Cyflwr cychwynnol y swits DIP i ddefnyddio
614wrth ddechrau efelychiad.
615-end-
616ho.edgat.range	Amrediad didau i dynnu oddiar fws.
617ho.edgat.memfile	-begin-
618Y ffeil efo'r cyflwr cychwynnol i'r cof yma.
619Darllenir y ffeil yma pan ddechreuir yr efelychydd.
620-end-
621ho.edgat.func	Math ffwythiant y modiwl yma.
622ho.edgat.frame	Label y ffram.
623ho.opt.undolen	Uchafrif gweithrediadau datwneud i gadw.
624ho.opt.site	-begin-
625Enw'r safle lle gosodir tkgate. Defnyddir
626yr enw yma ar unrhyw allbwn argraffydd neu
627postscript.
628-end-
629ho.opt.smooth	-begin-
630Os alluogir y dewisiad yma, gwellheuir
631sgrolio gan ddefnyddio copiau didfap yn lle
632ail-ddangosiad cyflawn. Cewch analluogi hyn
633os oes problemau efo'ch gweinydd.
634-end-
635ho.opt.clip	-begin-
636Os alluogir y dewisiad yma, defnyddir tocio
637i ddiweddaru dim ond y rhan agored o'r ffenest
638wrth ail-ddangos. Fel arall, ail-luniadir
639y dangosiad cyfan i bob rhan agored.
640-end-
641ho.opt.outmove	-begin-
642Os alluogir y dewisiad yma, dangosir dim ond
643amlinelliad o enghreifftiau modiwl sy'n cael
644eu symud wrth dynnu. Defnyddiwch y nodwedd
645yma dim ond ar beiriannau efo dangosiadau araf.
646-end-
647ho.opt.bhelp	-begin-
648Os alluogir y dewisiad yma, gweithredir balwnau
649cymorth. Mae balwnau cymorth, fel ur un yma,
650yn darparu cyngor ar elfennau rhyngwyneb pan
651mae'r llygoden drostynt.
652-end-
653ho.opt.novice	-begin-
654Os ni phenodir unrhyw cylched arall ar y llinell
655gorchymyn, dangosir tiwtorial TkGate fel y
656cylched cychwynnol wrth ddechrau.
657-end-
658ho.opt.debug	-begin-
659Alluogi rhai nodweddau datnamu. Mae hyn o ddefnydd
660i neb ond datblygwyr TkGate.
661-end-
662ho.opt.ckpt	Alluogi rheolfeydd i gylchredau sy ddim wedi'w cadw.
663ho.opt.ckptfreq	Amlder rheolfeydd mewn eiliadau.
664ho.opt.beak	-begin-
665Alluogi'r nodweddau hollol di-ddefnydd yma.
666Os analluogir y nodwedd yma, ni ddangosir
667y moddau yma (neu'r blwch cywiro) yn y
668blwch dewisiadau. Yr unig ffordd o
669ail-alluogi nhw fydd golygu eich ffeil
670.tkgate2-properties.
671-end-
672ho.opt.miles	-begin-
673Os alluogir y modd yma, bydd TkGate yn
674sarhau deallusrwydd y defnyddiwr bob
675hyn a hyn.
676-end-
677ho.opt.bat	-begin-
678Os alluogir y modd yma, bydd TkGate yn
679gosod amgylchedd i ddylunio cyfrifiadur bat.
680-end-
681ho.opt.trek	Pelydra fi i fyny Scotty!
682ho.opt.simstep	-begin-
683Y nifer o gyfnodau i flaenu
684pan rhoir y gorchymyn cam cloc.
685-end-
686ho.opt.ckstep	-begin-
687Y nifer o cylchredau cloc i flaenu
688pan rhoir y gorchymyn cam cloc.
689-end-
690ho.opt.ckover	-begin-
691Y nifer o gyfnodau heibio'r ymyl codi
692o'r cloc i flaenu pan rhoir y gorchymyn
693cam cloc.
694-end-
695ho.opt.vpopupdelay	-begin-
696Yr oediad mewn milfedau o eiliadau rhwng
697gwthio a gafael yn y botwm llygoden
698a dangos gwerth signal. Ar rai cysodau,
699bydd gwerthoedd oediad byr yn ymyrru
700efo'r gallu i adnabod clic dwbl. Os
701oes ganddoch y problem yma, cynyddwch
702y gwerth yma. Caniateir gwerthoedd rhwng 1
703a 10000.
704-end-
705ho.opt.init	-begin-
706Ffeil sgript efelychiad i weithredu yn
707awtomatig pan ddechreuir yr efelychiad.
708-end-
709ho.opt.ckall	-begin-
710Os alluogir y modd yma, bydd y gorchymyn
711cam cloc yn seibio'r efelychiad
712ar yr ymyl positif o unrhyw cloc yn y cylched.
713-end-
714ho.opt.ckspec	-begin-
715Os alluogir y modd yma, bydd y gorchymyn
716cam cloc yn seibio'r efelychiad dim ond
717ar yr ymyl positif o'r cloc penodol.
718-end-
719ho.deftech	-begin-
720Technoleg rhagosodiedig am giatiau
721newydd. Er mwyn newid technoleg
722rhyw giat penodol, agorwch ei flwch
723priodweddau (clic dwbl) a dewiswch
724y tab "Oediad".
725-end-
726ho.techbut	-begin-
727Defnyddio oediadau oddiwrth y ffeil diffiniad
728technoleg safonol. Er mwyn ychwanegu
729technolegau dewisiedig, ychwanegwch nhw
730at y rhestr yn y dewislen "Ffeil ->
731Dewisiadau -> Efelychu".
732-end-
733ho.custbut	-begin-
734Gosod gwerthoedd oediad dewisiedig am y
735giat yma.
736-end-
737ho.contver	-begin-
738Parhau i wirio cyfanrwydd yr adeileddau data
739mewnol ar ol pob gweithrediad.
740-end-
741ho.simwatch	-begin-
742Dangos gorchymynau a anfonir
743rhwng y GUI a'r efelychydd.
744-end-
745