1// DESCRIPTION: Verilator: Verilog Test module
2//
3// Copyright 2009 by Wilson Snyder. This program is free software; you can
4// redistribute it and/or modify it under the terms of either the GNU
5// Lesser General Public License Version 3 or the Perl Artistic License
6// Version 2.0.
7// SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
8
9module t ();
10
11   // Same name w/ different args
12   import "DPI-C" dpii_fa_bit =  function int oth_f_int1(input int i);
13   import "DPI-C" pure dpii_fa_bit = function int oth_f_int2(input int i, input int bad);
14
15   initial begin
16      $stop;
17   end
18
19endmodule
20