1// DESCRIPTION: Verilator: Verilog Test module
2//
3// Copyright 2009 by Wilson Snyder. This program is free software; you can
4// redistribute it and/or modify it under the terms of either the GNU
5// Lesser General Public License Version 3 or the Perl Artistic License
6// Version 2.0.
7// SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
8
9module t ();
10
11   // Can't handle logic (yet?)
12   import "DPI-C" function int \badly.named (int i);
13
14   initial begin
15      $stop;
16   end
17
18endmodule
19