1#!/usr/bin/env perl
2if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
3# DESCRIPTION: Verilator: Verilog Test driver/expect definition
4#
5# Copyright 2019 by Wilson Snyder. This program is free software; you
6# can redistribute it and/or modify it under the terms of either the GNU
7# Lesser General Public License Version 3 or the Perl Artistic License
8# Version 2.0.
9# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
10
11scenarios(simulator => 1);
12
13compile(
14    verilator_flags2 => ['--exe --build --main'],
15    verilator_make_cmake => 0,
16    verilator_make_gmake => 0,
17    make_main => 0,
18    # Check that code --main produces uses only most modern API features
19    make_flags => 'CPPFLAGS_ADD=-DVL_NO_LEGACY',
20    );
21
22execute(
23    check_finished => 1,
24    );
25
26ok(1);
271;
28