1%Warning-STMTDLY: t/t_lint_stmtdly_bad.v:10:8: Unsupported: Ignoring delay on this delayed statement.
2                                             : ... In instance t
3   10 |       #100 $finish;
4      |        ^~~
5                  ... For warning description see https://verilator.org/warn/STMTDLY?v=latest
6                  ... Use "/* verilator lint_off STMTDLY */" and lint_on around source to disable this message.
7%Error: Exiting due to
8