1// DESCRIPTION: Verilator: Verilog Test module
2//
3// This file ONLY is placed under the Creative Commons Public Domain, for
4// any use, without warranty, 2020 by Wilson Snyder.
5// SPDX-License-Identifier: CC0-1.0
6
7class Cls1;
8   function int randomize;
9      return 1;
10   endfunction
11endclass
12
13class Cls2;
14   function void randomize(int x);
15   endfunction
16endclass
17
18module t (/*AUTOARG*/);
19endmodule
20