1%Warning-SELRANGE: t/t_select_bad_range.v:16:15: Selection index out of range: 44:44 outside 43:0
2                                               : ... In instance t
3   16 |       sel = mi[44];
4      |               ^
5                   ... For warning description see https://verilator.org/warn/SELRANGE?v=latest
6                   ... Use "/* verilator lint_off SELRANGE */" and lint_on around source to disable this message.
7%Warning-SELRANGE: t/t_select_bad_range.v:17:16: Selection index out of range: 44:41 outside 43:0
8                                               : ... In instance t
9   17 |       sel2 = mi[44:41];
10      |                ^
11%Error: Exiting due to
12