1// DESCRIPTION: Verilator: Verilog Test module
2//
3// This file ONLY is placed under the Creative Commons Public Domain, for
4// any use, without warranty, 2008 by Wilson Snyder.
5// SPDX-License-Identifier: CC0-1.0
6
7`include "verilated.v"
8
9module t;
10
11   // Note $sscanf already tested elsewhere
12
13   reg [3:0] n;
14   reg [63:0] q;
15   reg [16*8:1] wide;
16
17   reg [8:1]    ochar;
18   reg [48*8:1] str;
19   reg [48*8:1] str2;
20   string str3;
21
22
23   real         r;
24
25   initial begin
26      n = 4'b1100;
27      q = 64'h1234_5678_abcd_0123;
28      wide = "hello-there12345";
29      $sformat(str, "n=%b q=%d w=%s", n, q, wide);
30`ifdef TEST_VERBOSE  $display("str=%0s",str);  `endif
31      if (str !== "n=1100 q= 1311768467750060323 w=hello-there12345") $stop;
32
33      q = {q[62:0],1'b1};
34      $swrite(str2, "n=%b q=%d w=%s", n, q, wide);
35`ifdef TEST_VERBOSE  $display("str2=%0s",str2);  `endif
36      if (str2 !== "n=1100 q= 2623536935500120647 w=hello-there12345") $stop;
37
38      str3 = $sformatf("n=%b q=%d w=%s", n, q, wide);
39`ifdef TEST_VERBOSE  $display("str3=%0s",str3);  `endif
40      if (str3 !== "n=1100 q= 2623536935500120647 w=hello-there12345") $stop;
41
42      $swrite(str2, "e=%e", r);
43      $swrite(str2, "e=%f", r);
44      $swrite(str2, "e=%g", r);
45
46      str3 = "hello";
47      $swrite(str2, {str3, str3});
48`ifdef TEST_VERBOSE  $display("str2=%0s",str2);  `endif
49      if (str2 !== "hellohello") $stop;
50
51      r = 0.01;
52      $swrite(str2, "e=%e f=%f g=%g", r, r, r);
53`ifdef TEST_VERBOSE  $display("str2=%0s",str2);  `endif
54      if (str2 !== "e=1.000000e-02 f=0.010000 g=0.01") $stop;
55
56      $swrite(str2, "mod=%m");
57`ifdef TEST_VERBOSE  $display("str2=%0s",str2);  `endif
58      if (str2 !== "mod=top.t") $stop;
59
60      $swrite(str2, "lib=%l");
61`ifdef TEST_VERBOSE  $display("chkl %0s",str2);  `endif
62      if (str2 !== "lib=t") $stop;
63
64      str3 = $sformatf("u=%u", {"a","b","c","d"}); // Value selected so is printable
65`ifdef TEST_VERBOSE  $display("chku %s", str3);  `endif
66      if (str3 !== "u=dcba") $stop;
67
68      str3 = $sformatf("v=%v", 4'b01xz); // Value selected so is printable
69`ifdef TEST_VERBOSE  $display("chkv %s", str3);  `endif
70
71      str3 = $sformatf("z=%z", {"a","b","c","d"}); // Value selected so is printable
72`ifdef TEST_VERBOSE  $display("chkz %s", str3);  `endif
73
74      $sformat(ochar,"%s","c");
75      if (ochar != "c") $stop;
76
77      $swrite(str2, 4'd12);
78      if (str2 != "12") $stop;
79      $swriteb(str2, 4'd12);
80      if (str2 != "1100") $stop;
81      $swriteh(str2, 4'd12);
82      if (str2 != "c") $stop;
83      $swriteo(str2, 4'd12);
84      if (str2 != "14") $stop;
85
86      $write("*-* All Finished *-*\n");
87      $finish;
88   end
89
90endmodule
91