1module top(input clk, input btn, output [7:0] led);
2    localparam ctr_width = 24;
3    localparam ctr_max = 2**ctr_width - 1;
4    reg [ctr_width-1:0] ctr = 0;
5    reg [9:0] pwm_ctr = 0;
6    reg dir = 0;
7
8    always@(posedge clk) begin
9    ctr <= dir ? ctr - 1'b1 - btn: ctr + 1'b1 + btn;
10        if (ctr[ctr_width-1 : ctr_width-3] == 0 && dir == 1)
11            dir <= 1'b0;
12        else if (ctr[ctr_width-1 : ctr_width-3] == 7 && dir == 0)
13            dir <= 1'b1;
14        pwm_ctr <= pwm_ctr + 1'b1;
15    end
16
17    reg [9:0] brightness [0:7];
18    localparam bright_max = 2**10 - 1;
19    reg [7:0] led_reg;
20
21    genvar i;
22    generate
23    for (i = 0; i < 8; i=i+1) begin
24       always @ (posedge clk) begin
25            if (ctr[ctr_width-1 : ctr_width-3] == i)
26                brightness[i] <= bright_max;
27            else if (ctr[ctr_width-1 : ctr_width-3] == (i - 1))
28                brightness[i] <= ctr[ctr_width-4:ctr_width-13];
29             else if (ctr[ctr_width-1 : ctr_width-3] == (i + 1))
30                 brightness[i] <= bright_max - ctr[ctr_width-4:ctr_width-13];
31            else
32                brightness[i] <= 0;
33            led_reg[i] <= pwm_ctr < brightness[i];
34       end
35    end
36    endgenerate
37
38    assign led = led_reg;
39endmodule
40