1// Include module declaration in a comment
2// module wrong;
3// endmodule
4`define DEFINE
5`define DEF_WITH_EQ = 1'd100
6`define DEF_VALUE   1'd100
7
8module mod (
9    a,
10    b,c,
11    d , e ,
12    `ifdef DEFINE
13    f,
14    `endif
15    g
16);
17
18parameter PARAM = 1;
19
20parameter STATE1 = 4'h0,
21          STATE2 = 4'h1,
22          STATE3 = 4'h2,
23          STATE4 = 4'h5    ,
24          STATE5 = 4'h6    ,
25          STATE6 = 4'h7    ,
26          STATE7 = 4'h8;
27
28input a,b, c, d ;
29output e;
30output f;
31inout g;
32
33wire a,b,c,d,e;
34reg f;
35wire g;
36real k;
37integer l;
38
39task add;
40    input x, y;
41    output z;
42begin
43    z = x + y;
44end
45endtask
46
47function mult;
48    input x;
49    input y;
50begin
51    mult = x * y;
52end
53endfunction
54
55wire [PARAM1-1:0] mynet;
56
57genvar gencnt;
58generate
59    for (gencnt = 0; gencnt < PARAM1; gencnt = gencnt + 1) begin: array
60        assign mynet[gencnt] = 1'b0;
61    end
62endgenerate
63
64endmodule // mod
65