1prelude: |
2  Const = 1
3benchmark:
4  vm1_const: |
5    j = Const
6    k = Const
7loop_count: 30000000
8