1
2--
3-- Generated by VASY
4--
5LIBRARY IEEE;
6USE IEEE.std_logic_1164.ALL;
7USE IEEE.numeric_std.ALL;
8
9ENTITY nmx3_x1 IS
10PORT(
11  cmd0	: IN STD_LOGIC;
12  cmd1	: IN STD_LOGIC;
13  i0	: IN STD_LOGIC;
14  i1	: IN STD_LOGIC;
15  i2	: IN STD_LOGIC;
16  nq	: OUT STD_LOGIC
17);
18END nmx3_x1;
19
20ARCHITECTURE RTL OF nmx3_x1 IS
21BEGIN
22  nq <= NOT(((NOT(cmd0) AND i0) OR (cmd0 AND ((cmd1 AND i1) OR (NOT(cmd1) AND i2)))));
23END RTL;
24