1# Makefile.in generated by automake 1.11 from Makefile.am.
2# @configure_input@
3
4# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
5# 2003, 2004, 2005, 2006, 2007, 2008, 2009  Free Software Foundation,
6# Inc.
7# This Makefile.in is free software; the Free Software Foundation
8# gives unlimited permission to copy and/or distribute it,
9# with or without modifications, as long as this notice is preserved.
10
11# This program is distributed in the hope that it will be useful,
12# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
13# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
14# PARTICULAR PURPOSE.
15
16@SET_MAKE@
17
18VPATH = @srcdir@
19pkgdatadir = $(datadir)/@PACKAGE@
20pkgincludedir = $(includedir)/@PACKAGE@
21pkglibdir = $(libdir)/@PACKAGE@
22pkglibexecdir = $(libexecdir)/@PACKAGE@
23am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
24install_sh_DATA = $(install_sh) -c -m 644
25install_sh_PROGRAM = $(install_sh) -c
26install_sh_SCRIPT = $(install_sh) -c
27INSTALL_HEADER = $(INSTALL_DATA)
28transform = $(program_transform_name)
29NORMAL_INSTALL = :
30PRE_INSTALL = :
31POST_INSTALL = :
32NORMAL_UNINSTALL = :
33PRE_UNINSTALL = :
34POST_UNINSTALL = :
35build_triplet = @build@
36host_triplet = @host@
37bin_PROGRAMS = atlc$(EXEEXT) create_bmp_for_rect_in_rect$(EXEEXT) \
38	create_any_bitmap$(EXEEXT) \
39	create_bmp_for_circ_in_circ$(EXEEXT) \
40	create_bmp_for_rect_cen_in_rect$(EXEEXT) \
41	create_bmp_for_circ_in_rect$(EXEEXT) \
42	create_bmp_for_rect_in_circ$(EXEEXT) readbin$(EXEEXT) \
43	create_bmp_for_symmetrical_stripline$(EXEEXT) \
44	design_coupler$(EXEEXT) \
45	create_bmp_for_stripline_coupler$(EXEEXT) \
46	create_bmp_for_microstrip_coupler$(EXEEXT) \
47	find_optimal_dimensions_for_microstrip_coupler$(EXEEXT) \
48	create_bmp_for_rect_cen_in_rect_coupler$(EXEEXT)
49subdir = src
50DIST_COMMON = README $(srcdir)/Makefile.am $(srcdir)/Makefile.in
51ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
52am__aclocal_m4_deps = $(top_srcdir)/m4/acx_pthread.m4 \
53	$(top_srcdir)/configure.ac
54am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
55	$(ACLOCAL_M4)
56mkinstalldirs = $(SHELL) $(top_srcdir)/mkinstalldirs
57CONFIG_HEADER = $(top_builddir)/config.h
58CONFIG_CLEAN_FILES =
59CONFIG_CLEAN_VPATH_FILES =
60am__installdirs = "$(DESTDIR)$(bindir)"
61PROGRAMS = $(bin_PROGRAMS)
62am_atlc_OBJECTS = atlc-atlc.$(OBJEXT) \
63	atlc-read_bitmap_file_headers.$(OBJEXT) \
64	atlc-update_voltage_array.$(OBJEXT) \
65	atlc-check_for_boundaries.$(OBJEXT) atlc-memory.$(OBJEXT) \
66	atlc-setup_arrays.$(OBJEXT) \
67	atlc-finite_difference_single_threaded.$(OBJEXT) \
68	atlc-finite_difference_multi_threaded.$(OBJEXT) \
69	atlc-usage_atlc.$(OBJEXT) atlc-check_for_shorts.$(OBJEXT) \
70	atlc-find_energy_per_metre.$(OBJEXT) \
71	atlc-set_oddity_from_Greens_paper.$(OBJEXT) \
72	atlc-get_file_pointer_with_right_filename.$(OBJEXT) \
73	atlc-find_maximum_values.$(OBJEXT) \
74	atlc-calculate_colour_data.$(OBJEXT) \
75	atlc-find_electric_fields.$(OBJEXT) atlc-get_options.$(OBJEXT) \
76	atlc-exit_with_msg_and_exit_code.$(OBJEXT) \
77	atlc-do_fd_calculation.$(OBJEXT) \
78	atlc-swap_conductor_voltages.$(OBJEXT) \
79	atlc-print_copyright.$(OBJEXT) \
80	atlc-print_data_for_directional_couplers.$(OBJEXT) \
81	atlc-print_data_for_two_conductor_lines.$(OBJEXT) \
82	atlc-set_data_to_sensible_starting_values.$(OBJEXT) \
83	atlc-write_fields_for_two_conductor_lines.$(OBJEXT) \
84	atlc-write_fields_for_directional_couplers.$(OBJEXT)
85atlc_OBJECTS = $(am_atlc_OBJECTS)
86atlc_DEPENDENCIES =
87am_create_any_bitmap_OBJECTS = create_any_bitmap.$(OBJEXT) \
88	exit_with_msg_and_exit_code.$(OBJEXT) \
89	usage_create_any_bitmap.$(OBJEXT)
90create_any_bitmap_OBJECTS = $(am_create_any_bitmap_OBJECTS)
91create_any_bitmap_LDADD = $(LDADD)
92am_create_bmp_for_circ_in_circ_OBJECTS =  \
93	create_bmp_for_circ_in_circ.$(OBJEXT) \
94	usage_create_bmp_for_circ_in_circ.$(OBJEXT) \
95	write_bitmap.$(OBJEXT) get_Er1_and_Er2_colours.$(OBJEXT) \
96	convert_create_bmp_for_circ_in_circ_dimensions_to_integers.$(OBJEXT) \
97	memory.$(OBJEXT) write_bitmap_out.$(OBJEXT) \
98	align_bitmap_image.$(OBJEXT) fill_circ_in_circ.$(OBJEXT) \
99	check_parameters_of_create_bmp_for_circ_in_circ.$(OBJEXT) \
100	check_error.$(OBJEXT) get_options.$(OBJEXT) \
101	exit_with_msg_and_exit_code.$(OBJEXT) \
102	print_copyright.$(OBJEXT)
103create_bmp_for_circ_in_circ_OBJECTS =  \
104	$(am_create_bmp_for_circ_in_circ_OBJECTS)
105create_bmp_for_circ_in_circ_DEPENDENCIES =
106am_create_bmp_for_circ_in_rect_OBJECTS =  \
107	create_bmp_for_circ_in_rect.$(OBJEXT) \
108	convert_create_bmp_for_circ_in_rect_dimensions_to_integers.$(OBJEXT) \
109	usage_create_bmp_for_circ_in_rect.$(OBJEXT) \
110	write_bitmap.$(OBJEXT) get_Er1_and_Er2_colours.$(OBJEXT) \
111	check_parameters_of_create_bmp_for_circ_in_rect.$(OBJEXT) \
112	memory.$(OBJEXT) write_bitmap_out.$(OBJEXT) \
113	align_bitmap_image.$(OBJEXT) fill_circ_in_rect.$(OBJEXT) \
114	check_error.$(OBJEXT) get_options.$(OBJEXT) \
115	exit_with_msg_and_exit_code.$(OBJEXT) \
116	print_copyright.$(OBJEXT)
117create_bmp_for_circ_in_rect_OBJECTS =  \
118	$(am_create_bmp_for_circ_in_rect_OBJECTS)
119create_bmp_for_circ_in_rect_DEPENDENCIES =
120am_create_bmp_for_microstrip_coupler_OBJECTS =  \
121	usage_create_bmp_for_microstrip_coupler.$(OBJEXT) \
122	create_bmp_for_microstrip_coupler.$(OBJEXT) \
123	get_Er1_and_Er2_colours.$(OBJEXT) \
124	convert_create_bmp_for_coupled_microstrip_dimensions_to_integers.$(OBJEXT) \
125	print_copyright.$(OBJEXT) write_bitmap_out.$(OBJEXT) \
126	memory.$(OBJEXT) get_options.$(OBJEXT) \
127	check_parameters_of_create_bmp_for_microstrip_coupler.$(OBJEXT) \
128	write_bitmap.$(OBJEXT) align_bitmap_image.$(OBJEXT) \
129	fill_image_vector_for_create_bmp_for_microstrip_coupler.$(OBJEXT) \
130	check_error.$(OBJEXT) exit_with_msg_and_exit_code.$(OBJEXT)
131create_bmp_for_microstrip_coupler_OBJECTS =  \
132	$(am_create_bmp_for_microstrip_coupler_OBJECTS)
133create_bmp_for_microstrip_coupler_DEPENDENCIES =
134am_create_bmp_for_rect_cen_in_rect_OBJECTS =  \
135	create_bmp_for_rect_cen_in_rect.$(OBJEXT) \
136	usage_create_bmp_for_rect_cen_in_rect.$(OBJEXT) \
137	write_bitmap.$(OBJEXT) get_Er1_and_Er2_colours.$(OBJEXT) \
138	convert_create_bmp_for_rect_in_rect_dimensions_to_integers.$(OBJEXT) \
139	memory.$(OBJEXT) write_bitmap_out.$(OBJEXT) \
140	align_bitmap_image.$(OBJEXT) fill_rect_in_rect.$(OBJEXT) \
141	check_parameters_of_create_bmp_for_rect_in_rect.$(OBJEXT) \
142	check_error.$(OBJEXT) get_options.$(OBJEXT) \
143	exit_with_msg_and_exit_code.$(OBJEXT) \
144	print_copyright.$(OBJEXT)
145create_bmp_for_rect_cen_in_rect_OBJECTS =  \
146	$(am_create_bmp_for_rect_cen_in_rect_OBJECTS)
147create_bmp_for_rect_cen_in_rect_DEPENDENCIES =
148am_create_bmp_for_rect_cen_in_rect_coupler_OBJECTS =  \
149	create_bmp_for_rect_cen_in_rect_coupler.$(OBJEXT) \
150	usage_create_bmp_for_rect_cen_in_rect_coupler.$(OBJEXT) \
151	get_options.$(OBJEXT) memory.$(OBJEXT) \
152	write_bitmap_out.$(OBJEXT) align_bitmap_image.$(OBJEXT) \
153	check_error.$(OBJEXT) exit_with_msg_and_exit_code.$(OBJEXT) \
154	print_copyright.$(OBJEXT)
155create_bmp_for_rect_cen_in_rect_coupler_OBJECTS =  \
156	$(am_create_bmp_for_rect_cen_in_rect_coupler_OBJECTS)
157create_bmp_for_rect_cen_in_rect_coupler_LDADD = $(LDADD)
158am_create_bmp_for_rect_in_circ_OBJECTS =  \
159	create_bmp_for_rect_in_circ.$(OBJEXT) \
160	usage_create_bmp_for_rect_in_circ.$(OBJEXT) \
161	write_bitmap.$(OBJEXT) get_Er1_and_Er2_colours.$(OBJEXT) \
162	convert_create_bmp_for_rect_in_circ_dimensions_to_integers.$(OBJEXT) \
163	memory.$(OBJEXT) write_bitmap_out.$(OBJEXT) \
164	align_bitmap_image.$(OBJEXT) fill_rect_in_circ.$(OBJEXT) \
165	check_parameters_of_create_bmp_for_rect_in_circ.$(OBJEXT) \
166	check_error.$(OBJEXT) get_options.$(OBJEXT) \
167	exit_with_msg_and_exit_code.$(OBJEXT) \
168	print_copyright.$(OBJEXT)
169create_bmp_for_rect_in_circ_OBJECTS =  \
170	$(am_create_bmp_for_rect_in_circ_OBJECTS)
171create_bmp_for_rect_in_circ_LDADD = $(LDADD)
172am_create_bmp_for_rect_in_rect_OBJECTS =  \
173	create_bmp_for_rect_in_rect.$(OBJEXT) \
174	usage_create_bmp_for_rect_in_rect.$(OBJEXT) \
175	write_bitmap.$(OBJEXT) get_Er1_and_Er2_colours.$(OBJEXT) \
176	convert_create_bmp_for_rect_in_rect_dimensions_to_integers.$(OBJEXT) \
177	memory.$(OBJEXT) write_bitmap_out.$(OBJEXT) \
178	align_bitmap_image.$(OBJEXT) fill_rect_in_rect.$(OBJEXT) \
179	check_parameters_of_create_bmp_for_rect_in_rect.$(OBJEXT) \
180	check_error.$(OBJEXT) get_options.$(OBJEXT) \
181	exit_with_msg_and_exit_code.$(OBJEXT) \
182	print_copyright.$(OBJEXT)
183create_bmp_for_rect_in_rect_OBJECTS =  \
184	$(am_create_bmp_for_rect_in_rect_OBJECTS)
185create_bmp_for_rect_in_rect_DEPENDENCIES =
186am_create_bmp_for_stripline_coupler_OBJECTS = create_bmp_for_stripline_coupler-create_bmp_for_stripline_coupler.$(OBJEXT) \
187	create_bmp_for_stripline_coupler-usage_create_bmp_for_stripline_coupler.$(OBJEXT) \
188	create_bmp_for_stripline_coupler-calculate_Zodd_and_Zeven.$(OBJEXT) \
189	create_bmp_for_stripline_coupler-get_options.$(OBJEXT) \
190	create_bmp_for_stripline_coupler-calculate_integer_values.$(OBJEXT) \
191	create_bmp_for_stripline_coupler-write_bitmap.$(OBJEXT) \
192	create_bmp_for_stripline_coupler-memory.$(OBJEXT) \
193	create_bmp_for_stripline_coupler-write_bitmap_out.$(OBJEXT) \
194	create_bmp_for_stripline_coupler-align_bitmap_image.$(OBJEXT) \
195	create_bmp_for_stripline_coupler-fill_make_coupler.$(OBJEXT) \
196	create_bmp_for_stripline_coupler-check_error.$(OBJEXT) \
197	create_bmp_for_stripline_coupler-exit_with_msg_and_exit_code.$(OBJEXT) \
198	create_bmp_for_stripline_coupler-get_Er1_and_Er2_colours.$(OBJEXT) \
199	create_bmp_for_stripline_coupler-print_copyright.$(OBJEXT) \
200	create_bmp_for_stripline_coupler-gsl_sf_ellint_Kcomp.$(OBJEXT)
201create_bmp_for_stripline_coupler_OBJECTS =  \
202	$(am_create_bmp_for_stripline_coupler_OBJECTS)
203create_bmp_for_stripline_coupler_DEPENDENCIES =
204create_bmp_for_stripline_coupler_LINK = $(CCLD) \
205	$(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) \
206	$(AM_LDFLAGS) $(LDFLAGS) -o $@
207am_create_bmp_for_symmetrical_stripline_OBJECTS =  \
208	create_bmp_for_symmetrical_stripline.$(OBJEXT) \
209	fill_image_vector_for_create_bmp_for_symmetrical_stripline.$(OBJEXT) \
210	usage_create_bmp_for_symmetrical_stripline.$(OBJEXT) \
211	memory.$(OBJEXT) write_bitmap_out.$(OBJEXT) \
212	align_bitmap_image.$(OBJEXT) \
213	calculate_impedance_for_create_bmp_for_symmetrical_stripline.$(OBJEXT) \
214	elliptic_integral.$(OBJEXT) get_options.$(OBJEXT) \
215	exit_with_msg_and_exit_code.$(OBJEXT) \
216	print_copyright.$(OBJEXT)
217create_bmp_for_symmetrical_stripline_OBJECTS =  \
218	$(am_create_bmp_for_symmetrical_stripline_OBJECTS)
219create_bmp_for_symmetrical_stripline_DEPENDENCIES =
220am_design_coupler_OBJECTS = design_coupler-design_coupler.$(OBJEXT) \
221	design_coupler-usage_design_coupler.$(OBJEXT) \
222	design_coupler-get_options.$(OBJEXT) \
223	design_coupler-print_copyright.$(OBJEXT) \
224	design_coupler-calculate_Zodd_and_Zeven.$(OBJEXT) \
225	design_coupler-give_examples_of_using_design_coupler.$(OBJEXT) \
226	design_coupler-exit_with_msg_and_exit_code.$(OBJEXT) \
227	design_coupler-gsl_sf_ellint_Kcomp.$(OBJEXT)
228design_coupler_OBJECTS = $(am_design_coupler_OBJECTS)
229design_coupler_DEPENDENCIES =
230design_coupler_LINK = $(CCLD) $(design_coupler_CFLAGS) $(CFLAGS) \
231	$(AM_LDFLAGS) $(LDFLAGS) -o $@
232am_find_optimal_dimensions_for_microstrip_coupler_OBJECTS =  \
233	find_optimal_dimensions_for_microstrip_coupler.$(OBJEXT) \
234	exit_with_msg_and_exit_code.$(OBJEXT) \
235	usage_find_optimal_dimensions_for_microstrip_coupler.$(OBJEXT) \
236	print_copyright.$(OBJEXT) get_options.$(OBJEXT) \
237	memory.$(OBJEXT) \
238	check_parameters_for_find_optimal_dimensions_for_microstrip_coupler.$(OBJEXT)
239find_optimal_dimensions_for_microstrip_coupler_OBJECTS =  \
240	$(am_find_optimal_dimensions_for_microstrip_coupler_OBJECTS)
241find_optimal_dimensions_for_microstrip_coupler_DEPENDENCIES =
242am_readbin_OBJECTS = readbin.$(OBJEXT) get_options.$(OBJEXT) \
243	exit_with_msg_and_exit_code.$(OBJEXT) \
244	print_copyright.$(OBJEXT) usage_readbin.$(OBJEXT) \
245	byteswap.$(OBJEXT) memory.$(OBJEXT)
246readbin_OBJECTS = $(am_readbin_OBJECTS)
247readbin_DEPENDENCIES =
248DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
249depcomp = $(SHELL) $(top_srcdir)/depcomp
250am__depfiles_maybe = depfiles
251am__mv = mv -f
252COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
253	$(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
254CCLD = $(CC)
255LINK = $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) $(LDFLAGS) -o $@
256SOURCES = $(atlc_SOURCES) $(create_any_bitmap_SOURCES) \
257	$(create_bmp_for_circ_in_circ_SOURCES) \
258	$(create_bmp_for_circ_in_rect_SOURCES) \
259	$(create_bmp_for_microstrip_coupler_SOURCES) \
260	$(create_bmp_for_rect_cen_in_rect_SOURCES) \
261	$(create_bmp_for_rect_cen_in_rect_coupler_SOURCES) \
262	$(create_bmp_for_rect_in_circ_SOURCES) \
263	$(create_bmp_for_rect_in_rect_SOURCES) \
264	$(create_bmp_for_stripline_coupler_SOURCES) \
265	$(create_bmp_for_symmetrical_stripline_SOURCES) \
266	$(design_coupler_SOURCES) \
267	$(find_optimal_dimensions_for_microstrip_coupler_SOURCES) \
268	$(readbin_SOURCES)
269DIST_SOURCES = $(atlc_SOURCES) $(create_any_bitmap_SOURCES) \
270	$(create_bmp_for_circ_in_circ_SOURCES) \
271	$(create_bmp_for_circ_in_rect_SOURCES) \
272	$(create_bmp_for_microstrip_coupler_SOURCES) \
273	$(create_bmp_for_rect_cen_in_rect_SOURCES) \
274	$(create_bmp_for_rect_cen_in_rect_coupler_SOURCES) \
275	$(create_bmp_for_rect_in_circ_SOURCES) \
276	$(create_bmp_for_rect_in_rect_SOURCES) \
277	$(create_bmp_for_stripline_coupler_SOURCES) \
278	$(create_bmp_for_symmetrical_stripline_SOURCES) \
279	$(design_coupler_SOURCES) \
280	$(find_optimal_dimensions_for_microstrip_coupler_SOURCES) \
281	$(readbin_SOURCES)
282ETAGS = etags
283CTAGS = ctags
284DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
285ACLOCAL = @ACLOCAL@
286AMTAR = @AMTAR@
287AUTOCONF = @AUTOCONF@
288AUTOHEADER = @AUTOHEADER@
289AUTOMAKE = @AUTOMAKE@
290AWK = @AWK@
291CC = @CC@
292CCDEPMODE = @CCDEPMODE@
293CFLAGS = @CFLAGS@
294CPP = @CPP@
295CPPFLAGS = @CPPFLAGS@
296CYGPATH_W = @CYGPATH_W@
297DEFS = @DEFS@
298DEPDIR = @DEPDIR@
299ECHO_C = @ECHO_C@
300ECHO_N = @ECHO_N@
301ECHO_T = @ECHO_T@
302EGREP = @EGREP@
303EXEEXT = @EXEEXT@
304GREP = @GREP@
305INSTALL = @INSTALL@
306INSTALL_DATA = @INSTALL_DATA@
307INSTALL_PROGRAM = @INSTALL_PROGRAM@
308INSTALL_SCRIPT = @INSTALL_SCRIPT@
309INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
310LDFLAGS = @LDFLAGS@
311LIBOBJS = @LIBOBJS@
312LIBS = @LIBS@
313LTLIBOBJS = @LTLIBOBJS@
314MAKEINFO = @MAKEINFO@
315MKDIR_P = @MKDIR_P@
316OBJEXT = @OBJEXT@
317PACKAGE = @PACKAGE@
318PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
319PACKAGE_NAME = @PACKAGE_NAME@
320PACKAGE_STRING = @PACKAGE_STRING@
321PACKAGE_TARNAME = @PACKAGE_TARNAME@
322PACKAGE_URL = @PACKAGE_URL@
323PACKAGE_VERSION = @PACKAGE_VERSION@
324PATH_SEPARATOR = @PATH_SEPARATOR@
325POW_LIB = @POW_LIB@
326PTHREAD_CC = @PTHREAD_CC@
327PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
328PTHREAD_LIBS = @PTHREAD_LIBS@
329SET_MAKE = @SET_MAKE@
330SHELL = @SHELL@
331STRIP = @STRIP@
332VERSION = @VERSION@
333abs_builddir = @abs_builddir@
334abs_srcdir = @abs_srcdir@
335abs_top_builddir = @abs_top_builddir@
336abs_top_srcdir = @abs_top_srcdir@
337ac_ct_CC = @ac_ct_CC@
338am__include = @am__include@
339am__leading_dot = @am__leading_dot@
340am__quote = @am__quote@
341am__tar = @am__tar@
342am__untar = @am__untar@
343bindir = @bindir@
344build = @build@
345build_alias = @build_alias@
346build_cpu = @build_cpu@
347build_os = @build_os@
348build_vendor = @build_vendor@
349builddir = @builddir@
350built_with_posix_threads = @built_with_posix_threads@
351compiled_with_cflags = @compiled_with_cflags@
352compiled_with_compiler = @compiled_with_compiler@
353datadir = @datadir@
354datarootdir = @datarootdir@
355docdir = @docdir@
356dvidir = @dvidir@
357exec_prefix = @exec_prefix@
358host = @host@
359host_alias = @host_alias@
360host_cpu = @host_cpu@
361host_os = @host_os@
362host_vendor = @host_vendor@
363htmldir = @htmldir@
364includedir = @includedir@
365infodir = @infodir@
366install_sh = @install_sh@
367libdir = @libdir@
368libexecdir = @libexecdir@
369localedir = @localedir@
370localstatedir = @localstatedir@
371mandir = @mandir@
372mkdir_p = @mkdir_p@
373oldincludedir = @oldincludedir@
374pdfdir = @pdfdir@
375prefix = @prefix@
376program_transform_name = @program_transform_name@
377psdir = @psdir@
378sbindir = @sbindir@
379sharedstatedir = @sharedstatedir@
380srcdir = @srcdir@
381sysconfdir = @sysconfdir@
382target_alias = @target_alias@
383top_build_prefix = @top_build_prefix@
384top_builddir = @top_builddir@
385top_srcdir = @top_srcdir@
386atlc_SOURCES = atlc.c read_bitmap_file_headers.c \
387update_voltage_array.c \
388check_for_boundaries.c \
389memory.c setup_arrays.c \
390finite_difference_single_threaded.c \
391finite_difference_multi_threaded.c \
392usage_atlc.c \
393check_for_shorts.c bmp.h definitions.h Erdata.h \
394find_energy_per_metre.c \
395set_oddity_from_Greens_paper.c \
396get_file_pointer_with_right_filename.c \
397find_maximum_values.c calculate_colour_data.c find_electric_fields.c \
398get_options.c exit_with_msg_and_exit_code.c do_fd_calculation.c \
399swap_conductor_voltages.c print_copyright.c \
400print_data_for_directional_couplers.c \
401print_data_for_two_conductor_lines.c \
402set_data_to_sensible_starting_values.c \
403write_fields_for_two_conductor_lines.c \
404write_fields_for_directional_couplers.c \
405exit_codes.h definitions.h
406
407atlc_CPPFLAGS = -DNEED_MPI_CODE @PTHREAD_CFLAGS@
408atlc_LDADD = @PTHREAD_LIBS@ @LIBS@
409create_bmp_for_rect_in_rect_SOURCES = create_bmp_for_rect_in_rect.c \
410usage_create_bmp_for_rect_in_rect.c write_bitmap.c \
411get_Er1_and_Er2_colours.c  \
412convert_create_bmp_for_rect_in_rect_dimensions_to_integers.c \
413memory.c write_bitmap_out.c  \
414align_bitmap_image.c fill_rect_in_rect.c \
415check_parameters_of_create_bmp_for_rect_in_rect.c \
416check_error.c get_options.c definitions.h \
417exit_with_msg_and_exit_code.c print_copyright.c \
418exit_codes.h definitions.h
419
420create_bmp_for_rect_in_rect_LDADD = @LIBS@
421create_any_bitmap_SOURCES = \
422create_any_bitmap.c \
423exit_with_msg_and_exit_code.c \
424definitions.h \
425exit_codes.h \
426usage_create_any_bitmap.c
427
428create_bmp_for_rect_cen_in_rect_coupler_SOURCES = \
429create_bmp_for_rect_cen_in_rect_coupler.c \
430usage_create_bmp_for_rect_cen_in_rect_coupler.c \
431get_options.c definitions.h memory.c \
432write_bitmap_out.c  \
433align_bitmap_image.c check_error.c exit_with_msg_and_exit_code.c \
434print_copyright.c \
435exit_codes.h definitions.h
436
437create_bmp_for_rect_cen_in_rect_SOURCES = create_bmp_for_rect_cen_in_rect.c \
438usage_create_bmp_for_rect_cen_in_rect.c \
439write_bitmap.c \
440get_Er1_and_Er2_colours.c \
441convert_create_bmp_for_rect_in_rect_dimensions_to_integers.c \
442memory.c write_bitmap_out.c  \
443align_bitmap_image.c \
444fill_rect_in_rect.c check_parameters_of_create_bmp_for_rect_in_rect.c \
445check_error.c get_options.c definitions.h exit_with_msg_and_exit_code.c \
446print_copyright.c Erdata.h exit_codes.h
447
448create_bmp_for_rect_cen_in_rect_LDADD = @LIBS@
449create_bmp_for_microstrip_coupler_SOURCES = \
450usage_create_bmp_for_microstrip_coupler.c \
451create_bmp_for_microstrip_coupler.c get_Er1_and_Er2_colours.c \
452convert_create_bmp_for_coupled_microstrip_dimensions_to_integers.c \
453print_copyright.c  \
454write_bitmap_out.c memory.c \
455get_options.c check_parameters_of_create_bmp_for_microstrip_coupler.c \
456write_bitmap.c align_bitmap_image.c \
457fill_image_vector_for_create_bmp_for_microstrip_coupler.c \
458check_error.c exit_with_msg_and_exit_code.c \
459Erdata.h definitions.h exit_codes.h
460
461create_bmp_for_microstrip_coupler_LDADD = @LIBS@
462create_bmp_for_circ_in_circ_SOURCES = create_bmp_for_circ_in_circ.c \
463usage_create_bmp_for_circ_in_circ.c write_bitmap.c \
464get_Er1_and_Er2_colours.c \
465convert_create_bmp_for_circ_in_circ_dimensions_to_integers.c \
466memory.c write_bitmap_out.c  \
467align_bitmap_image.c fill_circ_in_circ.c \
468check_parameters_of_create_bmp_for_circ_in_circ.c \
469check_error.c get_options.c definitions.h exit_with_msg_and_exit_code.c \
470print_copyright.c Erdata.h
471
472create_bmp_for_circ_in_circ_LDADD = @LIBS@
473create_bmp_for_circ_in_rect_SOURCES = create_bmp_for_circ_in_rect.c \
474convert_create_bmp_for_circ_in_rect_dimensions_to_integers.c \
475usage_create_bmp_for_circ_in_rect.c write_bitmap.c \
476get_Er1_and_Er2_colours.c \
477check_parameters_of_create_bmp_for_circ_in_rect.c \
478memory.c write_bitmap_out.c  \
479align_bitmap_image.c fill_circ_in_rect.c  \
480check_error.c get_options.c definitions.h exit_with_msg_and_exit_code.c \
481print_copyright.c Erdata.h exit_codes.h
482
483create_bmp_for_circ_in_rect_LDADD = @LIBS@
484create_bmp_for_rect_in_circ_SOURCES = create_bmp_for_rect_in_circ.c \
485usage_create_bmp_for_rect_in_circ.c write_bitmap.c \
486get_Er1_and_Er2_colours.c \
487convert_create_bmp_for_rect_in_circ_dimensions_to_integers.c \
488memory.c write_bitmap_out.c  \
489align_bitmap_image.c fill_rect_in_circ.c check_parameters_of_create_bmp_for_rect_in_circ.c \
490check_error.c get_options.c definitions.h exit_with_msg_and_exit_code.c \
491print_copyright.c Erdata.h
492
493readbin_SOURCES = \
494readbin.c \
495get_options.c exit_with_msg_and_exit_code.c print_copyright.c \
496usage_readbin.c byteswap.c \
497memory.c
498
499readbin_LDADD = @LIBS@
500create_bmp_for_symmetrical_stripline_SOURCES = \
501create_bmp_for_symmetrical_stripline.c \
502fill_image_vector_for_create_bmp_for_symmetrical_stripline.c \
503usage_create_bmp_for_symmetrical_stripline.c memory.c  write_bitmap_out.c \
504align_bitmap_image.c  \
505calculate_impedance_for_create_bmp_for_symmetrical_stripline.c \
506elliptic_integral.c get_options.c definitions.h exit_with_msg_and_exit_code.c \
507print_copyright.c
508
509create_bmp_for_symmetrical_stripline_LDADD = @LIBS@
510create_bmp_for_stripline_coupler_SOURCES = \
511create_bmp_for_stripline_coupler.c usage_create_bmp_for_stripline_coupler.c \
512calculate_Zodd_and_Zeven.c get_options.c definitions.h \
513calculate_integer_values.c write_bitmap.c \
514memory.c write_bitmap_out.c  \
515align_bitmap_image.c fill_make_coupler.c \
516check_error.c exit_with_msg_and_exit_code.c \
517get_Er1_and_Er2_colours.c print_copyright.c  \
518gsl_types.h \
519gsl_definitions.h \
520gsl_sf_ellint_Kcomp.c
521
522create_bmp_for_stripline_coupler_LDADD = @LIBS@
523create_bmp_for_stripline_coupler_CFLAGS = @CFLAGS@
524design_coupler_SOURCES = design_coupler.c usage_design_coupler.c \
525get_options.c print_copyright.c calculate_Zodd_and_Zeven.c \
526give_examples_of_using_design_coupler.c exit_with_msg_and_exit_code.c \
527gsl_types.h \
528gsl_definitions.h \
529gsl_sf_ellint_Kcomp.c
530
531design_coupler_LDADD = @LIBS@
532design_coupler_CFLAGS = @CFLAGS@
533find_optimal_dimensions_for_microstrip_coupler_SOURCES = \
534find_optimal_dimensions_for_microstrip_coupler.c \
535exit_with_msg_and_exit_code.c \
536usage_find_optimal_dimensions_for_microstrip_coupler.c \
537print_copyright.c get_options.c \
538memory.c \
539check_parameters_for_find_optimal_dimensions_for_microstrip_coupler.c
540
541find_optimal_dimensions_for_microstrip_coupler_LDADD = @LIBS@
542EXTRA_DIST = finite_difference_mpi.c
543all: all-am
544
545.SUFFIXES:
546.SUFFIXES: .c .o .obj
547$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am  $(am__configure_deps)
548	@for dep in $?; do \
549	  case '$(am__configure_deps)' in \
550	    *$$dep*) \
551	      ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
552	        && { if test -f $@; then exit 0; else break; fi; }; \
553	      exit 1;; \
554	  esac; \
555	done; \
556	echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu src/Makefile'; \
557	$(am__cd) $(top_srcdir) && \
558	  $(AUTOMAKE) --gnu src/Makefile
559.PRECIOUS: Makefile
560Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
561	@case '$?' in \
562	  *config.status*) \
563	    cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
564	  *) \
565	    echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
566	    cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
567	esac;
568
569$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
570	cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
571
572$(top_srcdir)/configure:  $(am__configure_deps)
573	cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
574$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
575	cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
576$(am__aclocal_m4_deps):
577install-binPROGRAMS: $(bin_PROGRAMS)
578	@$(NORMAL_INSTALL)
579	test -z "$(bindir)" || $(MKDIR_P) "$(DESTDIR)$(bindir)"
580	@list='$(bin_PROGRAMS)'; test -n "$(bindir)" || list=; \
581	for p in $$list; do echo "$$p $$p"; done | \
582	sed 's/$(EXEEXT)$$//' | \
583	while read p p1; do if test -f $$p; \
584	  then echo "$$p"; echo "$$p"; else :; fi; \
585	done | \
586	sed -e 'p;s,.*/,,;n;h' -e 's|.*|.|' \
587	    -e 'p;x;s,.*/,,;s/$(EXEEXT)$$//;$(transform);s/$$/$(EXEEXT)/' | \
588	sed 'N;N;N;s,\n, ,g' | \
589	$(AWK) 'BEGIN { files["."] = ""; dirs["."] = 1 } \
590	  { d=$$3; if (dirs[d] != 1) { print "d", d; dirs[d] = 1 } \
591	    if ($$2 == $$4) files[d] = files[d] " " $$1; \
592	    else { print "f", $$3 "/" $$4, $$1; } } \
593	  END { for (d in files) print "f", d, files[d] }' | \
594	while read type dir files; do \
595	    if test "$$dir" = .; then dir=; else dir=/$$dir; fi; \
596	    test -z "$$files" || { \
597	      echo " $(INSTALL_PROGRAM_ENV) $(INSTALL_PROGRAM) $$files '$(DESTDIR)$(bindir)$$dir'"; \
598	      $(INSTALL_PROGRAM_ENV) $(INSTALL_PROGRAM) $$files "$(DESTDIR)$(bindir)$$dir" || exit $$?; \
599	    } \
600	; done
601
602uninstall-binPROGRAMS:
603	@$(NORMAL_UNINSTALL)
604	@list='$(bin_PROGRAMS)'; test -n "$(bindir)" || list=; \
605	files=`for p in $$list; do echo "$$p"; done | \
606	  sed -e 'h;s,^.*/,,;s/$(EXEEXT)$$//;$(transform)' \
607	      -e 's/$$/$(EXEEXT)/' `; \
608	test -n "$$list" || exit 0; \
609	echo " ( cd '$(DESTDIR)$(bindir)' && rm -f" $$files ")"; \
610	cd "$(DESTDIR)$(bindir)" && rm -f $$files
611
612clean-binPROGRAMS:
613	-test -z "$(bin_PROGRAMS)" || rm -f $(bin_PROGRAMS)
614atlc$(EXEEXT): $(atlc_OBJECTS) $(atlc_DEPENDENCIES)
615	@rm -f atlc$(EXEEXT)
616	$(LINK) $(atlc_OBJECTS) $(atlc_LDADD) $(LIBS)
617create_any_bitmap$(EXEEXT): $(create_any_bitmap_OBJECTS) $(create_any_bitmap_DEPENDENCIES)
618	@rm -f create_any_bitmap$(EXEEXT)
619	$(LINK) $(create_any_bitmap_OBJECTS) $(create_any_bitmap_LDADD) $(LIBS)
620create_bmp_for_circ_in_circ$(EXEEXT): $(create_bmp_for_circ_in_circ_OBJECTS) $(create_bmp_for_circ_in_circ_DEPENDENCIES)
621	@rm -f create_bmp_for_circ_in_circ$(EXEEXT)
622	$(LINK) $(create_bmp_for_circ_in_circ_OBJECTS) $(create_bmp_for_circ_in_circ_LDADD) $(LIBS)
623create_bmp_for_circ_in_rect$(EXEEXT): $(create_bmp_for_circ_in_rect_OBJECTS) $(create_bmp_for_circ_in_rect_DEPENDENCIES)
624	@rm -f create_bmp_for_circ_in_rect$(EXEEXT)
625	$(LINK) $(create_bmp_for_circ_in_rect_OBJECTS) $(create_bmp_for_circ_in_rect_LDADD) $(LIBS)
626create_bmp_for_microstrip_coupler$(EXEEXT): $(create_bmp_for_microstrip_coupler_OBJECTS) $(create_bmp_for_microstrip_coupler_DEPENDENCIES)
627	@rm -f create_bmp_for_microstrip_coupler$(EXEEXT)
628	$(LINK) $(create_bmp_for_microstrip_coupler_OBJECTS) $(create_bmp_for_microstrip_coupler_LDADD) $(LIBS)
629create_bmp_for_rect_cen_in_rect$(EXEEXT): $(create_bmp_for_rect_cen_in_rect_OBJECTS) $(create_bmp_for_rect_cen_in_rect_DEPENDENCIES)
630	@rm -f create_bmp_for_rect_cen_in_rect$(EXEEXT)
631	$(LINK) $(create_bmp_for_rect_cen_in_rect_OBJECTS) $(create_bmp_for_rect_cen_in_rect_LDADD) $(LIBS)
632create_bmp_for_rect_cen_in_rect_coupler$(EXEEXT): $(create_bmp_for_rect_cen_in_rect_coupler_OBJECTS) $(create_bmp_for_rect_cen_in_rect_coupler_DEPENDENCIES)
633	@rm -f create_bmp_for_rect_cen_in_rect_coupler$(EXEEXT)
634	$(LINK) $(create_bmp_for_rect_cen_in_rect_coupler_OBJECTS) $(create_bmp_for_rect_cen_in_rect_coupler_LDADD) $(LIBS)
635create_bmp_for_rect_in_circ$(EXEEXT): $(create_bmp_for_rect_in_circ_OBJECTS) $(create_bmp_for_rect_in_circ_DEPENDENCIES)
636	@rm -f create_bmp_for_rect_in_circ$(EXEEXT)
637	$(LINK) $(create_bmp_for_rect_in_circ_OBJECTS) $(create_bmp_for_rect_in_circ_LDADD) $(LIBS)
638create_bmp_for_rect_in_rect$(EXEEXT): $(create_bmp_for_rect_in_rect_OBJECTS) $(create_bmp_for_rect_in_rect_DEPENDENCIES)
639	@rm -f create_bmp_for_rect_in_rect$(EXEEXT)
640	$(LINK) $(create_bmp_for_rect_in_rect_OBJECTS) $(create_bmp_for_rect_in_rect_LDADD) $(LIBS)
641create_bmp_for_stripline_coupler$(EXEEXT): $(create_bmp_for_stripline_coupler_OBJECTS) $(create_bmp_for_stripline_coupler_DEPENDENCIES)
642	@rm -f create_bmp_for_stripline_coupler$(EXEEXT)
643	$(create_bmp_for_stripline_coupler_LINK) $(create_bmp_for_stripline_coupler_OBJECTS) $(create_bmp_for_stripline_coupler_LDADD) $(LIBS)
644create_bmp_for_symmetrical_stripline$(EXEEXT): $(create_bmp_for_symmetrical_stripline_OBJECTS) $(create_bmp_for_symmetrical_stripline_DEPENDENCIES)
645	@rm -f create_bmp_for_symmetrical_stripline$(EXEEXT)
646	$(LINK) $(create_bmp_for_symmetrical_stripline_OBJECTS) $(create_bmp_for_symmetrical_stripline_LDADD) $(LIBS)
647design_coupler$(EXEEXT): $(design_coupler_OBJECTS) $(design_coupler_DEPENDENCIES)
648	@rm -f design_coupler$(EXEEXT)
649	$(design_coupler_LINK) $(design_coupler_OBJECTS) $(design_coupler_LDADD) $(LIBS)
650find_optimal_dimensions_for_microstrip_coupler$(EXEEXT): $(find_optimal_dimensions_for_microstrip_coupler_OBJECTS) $(find_optimal_dimensions_for_microstrip_coupler_DEPENDENCIES)
651	@rm -f find_optimal_dimensions_for_microstrip_coupler$(EXEEXT)
652	$(LINK) $(find_optimal_dimensions_for_microstrip_coupler_OBJECTS) $(find_optimal_dimensions_for_microstrip_coupler_LDADD) $(LIBS)
653readbin$(EXEEXT): $(readbin_OBJECTS) $(readbin_DEPENDENCIES)
654	@rm -f readbin$(EXEEXT)
655	$(LINK) $(readbin_OBJECTS) $(readbin_LDADD) $(LIBS)
656
657mostlyclean-compile:
658	-rm -f *.$(OBJEXT)
659
660distclean-compile:
661	-rm -f *.tab.c
662
663@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/align_bitmap_image.Po@am__quote@
664@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/atlc-atlc.Po@am__quote@
665@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/atlc-calculate_colour_data.Po@am__quote@
666@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/atlc-check_for_boundaries.Po@am__quote@
667@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/atlc-check_for_shorts.Po@am__quote@
668@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/atlc-do_fd_calculation.Po@am__quote@
669@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/atlc-exit_with_msg_and_exit_code.Po@am__quote@
670@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/atlc-find_electric_fields.Po@am__quote@
671@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/atlc-find_energy_per_metre.Po@am__quote@
672@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/atlc-find_maximum_values.Po@am__quote@
673@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/atlc-finite_difference_multi_threaded.Po@am__quote@
674@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/atlc-finite_difference_single_threaded.Po@am__quote@
675@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/atlc-get_file_pointer_with_right_filename.Po@am__quote@
676@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/atlc-get_options.Po@am__quote@
677@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/atlc-memory.Po@am__quote@
678@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/atlc-print_copyright.Po@am__quote@
679@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/atlc-print_data_for_directional_couplers.Po@am__quote@
680@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/atlc-print_data_for_two_conductor_lines.Po@am__quote@
681@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/atlc-read_bitmap_file_headers.Po@am__quote@
682@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/atlc-set_data_to_sensible_starting_values.Po@am__quote@
683@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/atlc-set_oddity_from_Greens_paper.Po@am__quote@
684@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/atlc-setup_arrays.Po@am__quote@
685@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/atlc-swap_conductor_voltages.Po@am__quote@
686@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/atlc-update_voltage_array.Po@am__quote@
687@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/atlc-usage_atlc.Po@am__quote@
688@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/atlc-write_fields_for_directional_couplers.Po@am__quote@
689@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/atlc-write_fields_for_two_conductor_lines.Po@am__quote@
690@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/byteswap.Po@am__quote@
691@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/calculate_impedance_for_create_bmp_for_symmetrical_stripline.Po@am__quote@
692@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/check_error.Po@am__quote@
693@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/check_parameters_for_find_optimal_dimensions_for_microstrip_coupler.Po@am__quote@
694@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/check_parameters_of_create_bmp_for_circ_in_circ.Po@am__quote@
695@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/check_parameters_of_create_bmp_for_circ_in_rect.Po@am__quote@
696@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/check_parameters_of_create_bmp_for_microstrip_coupler.Po@am__quote@
697@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/check_parameters_of_create_bmp_for_rect_in_circ.Po@am__quote@
698@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/check_parameters_of_create_bmp_for_rect_in_rect.Po@am__quote@
699@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/convert_create_bmp_for_circ_in_circ_dimensions_to_integers.Po@am__quote@
700@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/convert_create_bmp_for_circ_in_rect_dimensions_to_integers.Po@am__quote@
701@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/convert_create_bmp_for_coupled_microstrip_dimensions_to_integers.Po@am__quote@
702@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/convert_create_bmp_for_rect_in_circ_dimensions_to_integers.Po@am__quote@
703@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/convert_create_bmp_for_rect_in_rect_dimensions_to_integers.Po@am__quote@
704@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/create_any_bitmap.Po@am__quote@
705@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/create_bmp_for_circ_in_circ.Po@am__quote@
706@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/create_bmp_for_circ_in_rect.Po@am__quote@
707@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/create_bmp_for_microstrip_coupler.Po@am__quote@
708@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/create_bmp_for_rect_cen_in_rect.Po@am__quote@
709@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/create_bmp_for_rect_cen_in_rect_coupler.Po@am__quote@
710@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/create_bmp_for_rect_in_circ.Po@am__quote@
711@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/create_bmp_for_rect_in_rect.Po@am__quote@
712@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/create_bmp_for_stripline_coupler-align_bitmap_image.Po@am__quote@
713@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/create_bmp_for_stripline_coupler-calculate_Zodd_and_Zeven.Po@am__quote@
714@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/create_bmp_for_stripline_coupler-calculate_integer_values.Po@am__quote@
715@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/create_bmp_for_stripline_coupler-check_error.Po@am__quote@
716@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/create_bmp_for_stripline_coupler-create_bmp_for_stripline_coupler.Po@am__quote@
717@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/create_bmp_for_stripline_coupler-exit_with_msg_and_exit_code.Po@am__quote@
718@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/create_bmp_for_stripline_coupler-fill_make_coupler.Po@am__quote@
719@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/create_bmp_for_stripline_coupler-get_Er1_and_Er2_colours.Po@am__quote@
720@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/create_bmp_for_stripline_coupler-get_options.Po@am__quote@
721@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/create_bmp_for_stripline_coupler-gsl_sf_ellint_Kcomp.Po@am__quote@
722@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/create_bmp_for_stripline_coupler-memory.Po@am__quote@
723@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/create_bmp_for_stripline_coupler-print_copyright.Po@am__quote@
724@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/create_bmp_for_stripline_coupler-usage_create_bmp_for_stripline_coupler.Po@am__quote@
725@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/create_bmp_for_stripline_coupler-write_bitmap.Po@am__quote@
726@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/create_bmp_for_stripline_coupler-write_bitmap_out.Po@am__quote@
727@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/create_bmp_for_symmetrical_stripline.Po@am__quote@
728@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/design_coupler-calculate_Zodd_and_Zeven.Po@am__quote@
729@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/design_coupler-design_coupler.Po@am__quote@
730@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/design_coupler-exit_with_msg_and_exit_code.Po@am__quote@
731@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/design_coupler-get_options.Po@am__quote@
732@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/design_coupler-give_examples_of_using_design_coupler.Po@am__quote@
733@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/design_coupler-gsl_sf_ellint_Kcomp.Po@am__quote@
734@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/design_coupler-print_copyright.Po@am__quote@
735@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/design_coupler-usage_design_coupler.Po@am__quote@
736@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/elliptic_integral.Po@am__quote@
737@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/exit_with_msg_and_exit_code.Po@am__quote@
738@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/fill_circ_in_circ.Po@am__quote@
739@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/fill_circ_in_rect.Po@am__quote@
740@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/fill_image_vector_for_create_bmp_for_microstrip_coupler.Po@am__quote@
741@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/fill_image_vector_for_create_bmp_for_symmetrical_stripline.Po@am__quote@
742@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/fill_rect_in_circ.Po@am__quote@
743@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/fill_rect_in_rect.Po@am__quote@
744@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/find_optimal_dimensions_for_microstrip_coupler.Po@am__quote@
745@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/get_Er1_and_Er2_colours.Po@am__quote@
746@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/get_options.Po@am__quote@
747@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/memory.Po@am__quote@
748@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/print_copyright.Po@am__quote@
749@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/readbin.Po@am__quote@
750@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usage_create_any_bitmap.Po@am__quote@
751@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usage_create_bmp_for_circ_in_circ.Po@am__quote@
752@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usage_create_bmp_for_circ_in_rect.Po@am__quote@
753@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usage_create_bmp_for_microstrip_coupler.Po@am__quote@
754@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usage_create_bmp_for_rect_cen_in_rect.Po@am__quote@
755@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usage_create_bmp_for_rect_cen_in_rect_coupler.Po@am__quote@
756@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usage_create_bmp_for_rect_in_circ.Po@am__quote@
757@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usage_create_bmp_for_rect_in_rect.Po@am__quote@
758@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usage_create_bmp_for_symmetrical_stripline.Po@am__quote@
759@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usage_find_optimal_dimensions_for_microstrip_coupler.Po@am__quote@
760@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usage_readbin.Po@am__quote@
761@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/write_bitmap.Po@am__quote@
762@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/write_bitmap_out.Po@am__quote@
763
764.c.o:
765@am__fastdepCC_TRUE@	$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
766@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
767@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
768@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
769@am__fastdepCC_FALSE@	$(COMPILE) -c $<
770
771.c.obj:
772@am__fastdepCC_TRUE@	$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
773@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
774@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
775@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
776@am__fastdepCC_FALSE@	$(COMPILE) -c `$(CYGPATH_W) '$<'`
777
778atlc-atlc.o: atlc.c
779@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-atlc.o -MD -MP -MF $(DEPDIR)/atlc-atlc.Tpo -c -o atlc-atlc.o `test -f 'atlc.c' || echo '$(srcdir)/'`atlc.c
780@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-atlc.Tpo $(DEPDIR)/atlc-atlc.Po
781@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='atlc.c' object='atlc-atlc.o' libtool=no @AMDEPBACKSLASH@
782@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
783@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-atlc.o `test -f 'atlc.c' || echo '$(srcdir)/'`atlc.c
784
785atlc-atlc.obj: atlc.c
786@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-atlc.obj -MD -MP -MF $(DEPDIR)/atlc-atlc.Tpo -c -o atlc-atlc.obj `if test -f 'atlc.c'; then $(CYGPATH_W) 'atlc.c'; else $(CYGPATH_W) '$(srcdir)/atlc.c'; fi`
787@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-atlc.Tpo $(DEPDIR)/atlc-atlc.Po
788@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='atlc.c' object='atlc-atlc.obj' libtool=no @AMDEPBACKSLASH@
789@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
790@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-atlc.obj `if test -f 'atlc.c'; then $(CYGPATH_W) 'atlc.c'; else $(CYGPATH_W) '$(srcdir)/atlc.c'; fi`
791
792atlc-read_bitmap_file_headers.o: read_bitmap_file_headers.c
793@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-read_bitmap_file_headers.o -MD -MP -MF $(DEPDIR)/atlc-read_bitmap_file_headers.Tpo -c -o atlc-read_bitmap_file_headers.o `test -f 'read_bitmap_file_headers.c' || echo '$(srcdir)/'`read_bitmap_file_headers.c
794@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-read_bitmap_file_headers.Tpo $(DEPDIR)/atlc-read_bitmap_file_headers.Po
795@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='read_bitmap_file_headers.c' object='atlc-read_bitmap_file_headers.o' libtool=no @AMDEPBACKSLASH@
796@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
797@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-read_bitmap_file_headers.o `test -f 'read_bitmap_file_headers.c' || echo '$(srcdir)/'`read_bitmap_file_headers.c
798
799atlc-read_bitmap_file_headers.obj: read_bitmap_file_headers.c
800@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-read_bitmap_file_headers.obj -MD -MP -MF $(DEPDIR)/atlc-read_bitmap_file_headers.Tpo -c -o atlc-read_bitmap_file_headers.obj `if test -f 'read_bitmap_file_headers.c'; then $(CYGPATH_W) 'read_bitmap_file_headers.c'; else $(CYGPATH_W) '$(srcdir)/read_bitmap_file_headers.c'; fi`
801@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-read_bitmap_file_headers.Tpo $(DEPDIR)/atlc-read_bitmap_file_headers.Po
802@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='read_bitmap_file_headers.c' object='atlc-read_bitmap_file_headers.obj' libtool=no @AMDEPBACKSLASH@
803@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
804@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-read_bitmap_file_headers.obj `if test -f 'read_bitmap_file_headers.c'; then $(CYGPATH_W) 'read_bitmap_file_headers.c'; else $(CYGPATH_W) '$(srcdir)/read_bitmap_file_headers.c'; fi`
805
806atlc-update_voltage_array.o: update_voltage_array.c
807@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-update_voltage_array.o -MD -MP -MF $(DEPDIR)/atlc-update_voltage_array.Tpo -c -o atlc-update_voltage_array.o `test -f 'update_voltage_array.c' || echo '$(srcdir)/'`update_voltage_array.c
808@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-update_voltage_array.Tpo $(DEPDIR)/atlc-update_voltage_array.Po
809@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='update_voltage_array.c' object='atlc-update_voltage_array.o' libtool=no @AMDEPBACKSLASH@
810@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
811@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-update_voltage_array.o `test -f 'update_voltage_array.c' || echo '$(srcdir)/'`update_voltage_array.c
812
813atlc-update_voltage_array.obj: update_voltage_array.c
814@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-update_voltage_array.obj -MD -MP -MF $(DEPDIR)/atlc-update_voltage_array.Tpo -c -o atlc-update_voltage_array.obj `if test -f 'update_voltage_array.c'; then $(CYGPATH_W) 'update_voltage_array.c'; else $(CYGPATH_W) '$(srcdir)/update_voltage_array.c'; fi`
815@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-update_voltage_array.Tpo $(DEPDIR)/atlc-update_voltage_array.Po
816@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='update_voltage_array.c' object='atlc-update_voltage_array.obj' libtool=no @AMDEPBACKSLASH@
817@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
818@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-update_voltage_array.obj `if test -f 'update_voltage_array.c'; then $(CYGPATH_W) 'update_voltage_array.c'; else $(CYGPATH_W) '$(srcdir)/update_voltage_array.c'; fi`
819
820atlc-check_for_boundaries.o: check_for_boundaries.c
821@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-check_for_boundaries.o -MD -MP -MF $(DEPDIR)/atlc-check_for_boundaries.Tpo -c -o atlc-check_for_boundaries.o `test -f 'check_for_boundaries.c' || echo '$(srcdir)/'`check_for_boundaries.c
822@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-check_for_boundaries.Tpo $(DEPDIR)/atlc-check_for_boundaries.Po
823@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='check_for_boundaries.c' object='atlc-check_for_boundaries.o' libtool=no @AMDEPBACKSLASH@
824@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
825@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-check_for_boundaries.o `test -f 'check_for_boundaries.c' || echo '$(srcdir)/'`check_for_boundaries.c
826
827atlc-check_for_boundaries.obj: check_for_boundaries.c
828@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-check_for_boundaries.obj -MD -MP -MF $(DEPDIR)/atlc-check_for_boundaries.Tpo -c -o atlc-check_for_boundaries.obj `if test -f 'check_for_boundaries.c'; then $(CYGPATH_W) 'check_for_boundaries.c'; else $(CYGPATH_W) '$(srcdir)/check_for_boundaries.c'; fi`
829@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-check_for_boundaries.Tpo $(DEPDIR)/atlc-check_for_boundaries.Po
830@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='check_for_boundaries.c' object='atlc-check_for_boundaries.obj' libtool=no @AMDEPBACKSLASH@
831@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
832@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-check_for_boundaries.obj `if test -f 'check_for_boundaries.c'; then $(CYGPATH_W) 'check_for_boundaries.c'; else $(CYGPATH_W) '$(srcdir)/check_for_boundaries.c'; fi`
833
834atlc-memory.o: memory.c
835@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-memory.o -MD -MP -MF $(DEPDIR)/atlc-memory.Tpo -c -o atlc-memory.o `test -f 'memory.c' || echo '$(srcdir)/'`memory.c
836@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-memory.Tpo $(DEPDIR)/atlc-memory.Po
837@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='memory.c' object='atlc-memory.o' libtool=no @AMDEPBACKSLASH@
838@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
839@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-memory.o `test -f 'memory.c' || echo '$(srcdir)/'`memory.c
840
841atlc-memory.obj: memory.c
842@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-memory.obj -MD -MP -MF $(DEPDIR)/atlc-memory.Tpo -c -o atlc-memory.obj `if test -f 'memory.c'; then $(CYGPATH_W) 'memory.c'; else $(CYGPATH_W) '$(srcdir)/memory.c'; fi`
843@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-memory.Tpo $(DEPDIR)/atlc-memory.Po
844@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='memory.c' object='atlc-memory.obj' libtool=no @AMDEPBACKSLASH@
845@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
846@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-memory.obj `if test -f 'memory.c'; then $(CYGPATH_W) 'memory.c'; else $(CYGPATH_W) '$(srcdir)/memory.c'; fi`
847
848atlc-setup_arrays.o: setup_arrays.c
849@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-setup_arrays.o -MD -MP -MF $(DEPDIR)/atlc-setup_arrays.Tpo -c -o atlc-setup_arrays.o `test -f 'setup_arrays.c' || echo '$(srcdir)/'`setup_arrays.c
850@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-setup_arrays.Tpo $(DEPDIR)/atlc-setup_arrays.Po
851@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='setup_arrays.c' object='atlc-setup_arrays.o' libtool=no @AMDEPBACKSLASH@
852@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
853@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-setup_arrays.o `test -f 'setup_arrays.c' || echo '$(srcdir)/'`setup_arrays.c
854
855atlc-setup_arrays.obj: setup_arrays.c
856@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-setup_arrays.obj -MD -MP -MF $(DEPDIR)/atlc-setup_arrays.Tpo -c -o atlc-setup_arrays.obj `if test -f 'setup_arrays.c'; then $(CYGPATH_W) 'setup_arrays.c'; else $(CYGPATH_W) '$(srcdir)/setup_arrays.c'; fi`
857@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-setup_arrays.Tpo $(DEPDIR)/atlc-setup_arrays.Po
858@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='setup_arrays.c' object='atlc-setup_arrays.obj' libtool=no @AMDEPBACKSLASH@
859@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
860@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-setup_arrays.obj `if test -f 'setup_arrays.c'; then $(CYGPATH_W) 'setup_arrays.c'; else $(CYGPATH_W) '$(srcdir)/setup_arrays.c'; fi`
861
862atlc-finite_difference_single_threaded.o: finite_difference_single_threaded.c
863@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-finite_difference_single_threaded.o -MD -MP -MF $(DEPDIR)/atlc-finite_difference_single_threaded.Tpo -c -o atlc-finite_difference_single_threaded.o `test -f 'finite_difference_single_threaded.c' || echo '$(srcdir)/'`finite_difference_single_threaded.c
864@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-finite_difference_single_threaded.Tpo $(DEPDIR)/atlc-finite_difference_single_threaded.Po
865@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='finite_difference_single_threaded.c' object='atlc-finite_difference_single_threaded.o' libtool=no @AMDEPBACKSLASH@
866@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
867@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-finite_difference_single_threaded.o `test -f 'finite_difference_single_threaded.c' || echo '$(srcdir)/'`finite_difference_single_threaded.c
868
869atlc-finite_difference_single_threaded.obj: finite_difference_single_threaded.c
870@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-finite_difference_single_threaded.obj -MD -MP -MF $(DEPDIR)/atlc-finite_difference_single_threaded.Tpo -c -o atlc-finite_difference_single_threaded.obj `if test -f 'finite_difference_single_threaded.c'; then $(CYGPATH_W) 'finite_difference_single_threaded.c'; else $(CYGPATH_W) '$(srcdir)/finite_difference_single_threaded.c'; fi`
871@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-finite_difference_single_threaded.Tpo $(DEPDIR)/atlc-finite_difference_single_threaded.Po
872@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='finite_difference_single_threaded.c' object='atlc-finite_difference_single_threaded.obj' libtool=no @AMDEPBACKSLASH@
873@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
874@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-finite_difference_single_threaded.obj `if test -f 'finite_difference_single_threaded.c'; then $(CYGPATH_W) 'finite_difference_single_threaded.c'; else $(CYGPATH_W) '$(srcdir)/finite_difference_single_threaded.c'; fi`
875
876atlc-finite_difference_multi_threaded.o: finite_difference_multi_threaded.c
877@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-finite_difference_multi_threaded.o -MD -MP -MF $(DEPDIR)/atlc-finite_difference_multi_threaded.Tpo -c -o atlc-finite_difference_multi_threaded.o `test -f 'finite_difference_multi_threaded.c' || echo '$(srcdir)/'`finite_difference_multi_threaded.c
878@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-finite_difference_multi_threaded.Tpo $(DEPDIR)/atlc-finite_difference_multi_threaded.Po
879@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='finite_difference_multi_threaded.c' object='atlc-finite_difference_multi_threaded.o' libtool=no @AMDEPBACKSLASH@
880@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
881@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-finite_difference_multi_threaded.o `test -f 'finite_difference_multi_threaded.c' || echo '$(srcdir)/'`finite_difference_multi_threaded.c
882
883atlc-finite_difference_multi_threaded.obj: finite_difference_multi_threaded.c
884@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-finite_difference_multi_threaded.obj -MD -MP -MF $(DEPDIR)/atlc-finite_difference_multi_threaded.Tpo -c -o atlc-finite_difference_multi_threaded.obj `if test -f 'finite_difference_multi_threaded.c'; then $(CYGPATH_W) 'finite_difference_multi_threaded.c'; else $(CYGPATH_W) '$(srcdir)/finite_difference_multi_threaded.c'; fi`
885@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-finite_difference_multi_threaded.Tpo $(DEPDIR)/atlc-finite_difference_multi_threaded.Po
886@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='finite_difference_multi_threaded.c' object='atlc-finite_difference_multi_threaded.obj' libtool=no @AMDEPBACKSLASH@
887@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
888@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-finite_difference_multi_threaded.obj `if test -f 'finite_difference_multi_threaded.c'; then $(CYGPATH_W) 'finite_difference_multi_threaded.c'; else $(CYGPATH_W) '$(srcdir)/finite_difference_multi_threaded.c'; fi`
889
890atlc-usage_atlc.o: usage_atlc.c
891@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-usage_atlc.o -MD -MP -MF $(DEPDIR)/atlc-usage_atlc.Tpo -c -o atlc-usage_atlc.o `test -f 'usage_atlc.c' || echo '$(srcdir)/'`usage_atlc.c
892@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-usage_atlc.Tpo $(DEPDIR)/atlc-usage_atlc.Po
893@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='usage_atlc.c' object='atlc-usage_atlc.o' libtool=no @AMDEPBACKSLASH@
894@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
895@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-usage_atlc.o `test -f 'usage_atlc.c' || echo '$(srcdir)/'`usage_atlc.c
896
897atlc-usage_atlc.obj: usage_atlc.c
898@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-usage_atlc.obj -MD -MP -MF $(DEPDIR)/atlc-usage_atlc.Tpo -c -o atlc-usage_atlc.obj `if test -f 'usage_atlc.c'; then $(CYGPATH_W) 'usage_atlc.c'; else $(CYGPATH_W) '$(srcdir)/usage_atlc.c'; fi`
899@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-usage_atlc.Tpo $(DEPDIR)/atlc-usage_atlc.Po
900@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='usage_atlc.c' object='atlc-usage_atlc.obj' libtool=no @AMDEPBACKSLASH@
901@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
902@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-usage_atlc.obj `if test -f 'usage_atlc.c'; then $(CYGPATH_W) 'usage_atlc.c'; else $(CYGPATH_W) '$(srcdir)/usage_atlc.c'; fi`
903
904atlc-check_for_shorts.o: check_for_shorts.c
905@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-check_for_shorts.o -MD -MP -MF $(DEPDIR)/atlc-check_for_shorts.Tpo -c -o atlc-check_for_shorts.o `test -f 'check_for_shorts.c' || echo '$(srcdir)/'`check_for_shorts.c
906@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-check_for_shorts.Tpo $(DEPDIR)/atlc-check_for_shorts.Po
907@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='check_for_shorts.c' object='atlc-check_for_shorts.o' libtool=no @AMDEPBACKSLASH@
908@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
909@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-check_for_shorts.o `test -f 'check_for_shorts.c' || echo '$(srcdir)/'`check_for_shorts.c
910
911atlc-check_for_shorts.obj: check_for_shorts.c
912@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-check_for_shorts.obj -MD -MP -MF $(DEPDIR)/atlc-check_for_shorts.Tpo -c -o atlc-check_for_shorts.obj `if test -f 'check_for_shorts.c'; then $(CYGPATH_W) 'check_for_shorts.c'; else $(CYGPATH_W) '$(srcdir)/check_for_shorts.c'; fi`
913@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-check_for_shorts.Tpo $(DEPDIR)/atlc-check_for_shorts.Po
914@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='check_for_shorts.c' object='atlc-check_for_shorts.obj' libtool=no @AMDEPBACKSLASH@
915@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
916@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-check_for_shorts.obj `if test -f 'check_for_shorts.c'; then $(CYGPATH_W) 'check_for_shorts.c'; else $(CYGPATH_W) '$(srcdir)/check_for_shorts.c'; fi`
917
918atlc-find_energy_per_metre.o: find_energy_per_metre.c
919@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-find_energy_per_metre.o -MD -MP -MF $(DEPDIR)/atlc-find_energy_per_metre.Tpo -c -o atlc-find_energy_per_metre.o `test -f 'find_energy_per_metre.c' || echo '$(srcdir)/'`find_energy_per_metre.c
920@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-find_energy_per_metre.Tpo $(DEPDIR)/atlc-find_energy_per_metre.Po
921@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='find_energy_per_metre.c' object='atlc-find_energy_per_metre.o' libtool=no @AMDEPBACKSLASH@
922@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
923@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-find_energy_per_metre.o `test -f 'find_energy_per_metre.c' || echo '$(srcdir)/'`find_energy_per_metre.c
924
925atlc-find_energy_per_metre.obj: find_energy_per_metre.c
926@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-find_energy_per_metre.obj -MD -MP -MF $(DEPDIR)/atlc-find_energy_per_metre.Tpo -c -o atlc-find_energy_per_metre.obj `if test -f 'find_energy_per_metre.c'; then $(CYGPATH_W) 'find_energy_per_metre.c'; else $(CYGPATH_W) '$(srcdir)/find_energy_per_metre.c'; fi`
927@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-find_energy_per_metre.Tpo $(DEPDIR)/atlc-find_energy_per_metre.Po
928@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='find_energy_per_metre.c' object='atlc-find_energy_per_metre.obj' libtool=no @AMDEPBACKSLASH@
929@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
930@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-find_energy_per_metre.obj `if test -f 'find_energy_per_metre.c'; then $(CYGPATH_W) 'find_energy_per_metre.c'; else $(CYGPATH_W) '$(srcdir)/find_energy_per_metre.c'; fi`
931
932atlc-set_oddity_from_Greens_paper.o: set_oddity_from_Greens_paper.c
933@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-set_oddity_from_Greens_paper.o -MD -MP -MF $(DEPDIR)/atlc-set_oddity_from_Greens_paper.Tpo -c -o atlc-set_oddity_from_Greens_paper.o `test -f 'set_oddity_from_Greens_paper.c' || echo '$(srcdir)/'`set_oddity_from_Greens_paper.c
934@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-set_oddity_from_Greens_paper.Tpo $(DEPDIR)/atlc-set_oddity_from_Greens_paper.Po
935@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='set_oddity_from_Greens_paper.c' object='atlc-set_oddity_from_Greens_paper.o' libtool=no @AMDEPBACKSLASH@
936@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
937@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-set_oddity_from_Greens_paper.o `test -f 'set_oddity_from_Greens_paper.c' || echo '$(srcdir)/'`set_oddity_from_Greens_paper.c
938
939atlc-set_oddity_from_Greens_paper.obj: set_oddity_from_Greens_paper.c
940@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-set_oddity_from_Greens_paper.obj -MD -MP -MF $(DEPDIR)/atlc-set_oddity_from_Greens_paper.Tpo -c -o atlc-set_oddity_from_Greens_paper.obj `if test -f 'set_oddity_from_Greens_paper.c'; then $(CYGPATH_W) 'set_oddity_from_Greens_paper.c'; else $(CYGPATH_W) '$(srcdir)/set_oddity_from_Greens_paper.c'; fi`
941@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-set_oddity_from_Greens_paper.Tpo $(DEPDIR)/atlc-set_oddity_from_Greens_paper.Po
942@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='set_oddity_from_Greens_paper.c' object='atlc-set_oddity_from_Greens_paper.obj' libtool=no @AMDEPBACKSLASH@
943@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
944@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-set_oddity_from_Greens_paper.obj `if test -f 'set_oddity_from_Greens_paper.c'; then $(CYGPATH_W) 'set_oddity_from_Greens_paper.c'; else $(CYGPATH_W) '$(srcdir)/set_oddity_from_Greens_paper.c'; fi`
945
946atlc-get_file_pointer_with_right_filename.o: get_file_pointer_with_right_filename.c
947@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-get_file_pointer_with_right_filename.o -MD -MP -MF $(DEPDIR)/atlc-get_file_pointer_with_right_filename.Tpo -c -o atlc-get_file_pointer_with_right_filename.o `test -f 'get_file_pointer_with_right_filename.c' || echo '$(srcdir)/'`get_file_pointer_with_right_filename.c
948@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-get_file_pointer_with_right_filename.Tpo $(DEPDIR)/atlc-get_file_pointer_with_right_filename.Po
949@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='get_file_pointer_with_right_filename.c' object='atlc-get_file_pointer_with_right_filename.o' libtool=no @AMDEPBACKSLASH@
950@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
951@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-get_file_pointer_with_right_filename.o `test -f 'get_file_pointer_with_right_filename.c' || echo '$(srcdir)/'`get_file_pointer_with_right_filename.c
952
953atlc-get_file_pointer_with_right_filename.obj: get_file_pointer_with_right_filename.c
954@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-get_file_pointer_with_right_filename.obj -MD -MP -MF $(DEPDIR)/atlc-get_file_pointer_with_right_filename.Tpo -c -o atlc-get_file_pointer_with_right_filename.obj `if test -f 'get_file_pointer_with_right_filename.c'; then $(CYGPATH_W) 'get_file_pointer_with_right_filename.c'; else $(CYGPATH_W) '$(srcdir)/get_file_pointer_with_right_filename.c'; fi`
955@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-get_file_pointer_with_right_filename.Tpo $(DEPDIR)/atlc-get_file_pointer_with_right_filename.Po
956@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='get_file_pointer_with_right_filename.c' object='atlc-get_file_pointer_with_right_filename.obj' libtool=no @AMDEPBACKSLASH@
957@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
958@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-get_file_pointer_with_right_filename.obj `if test -f 'get_file_pointer_with_right_filename.c'; then $(CYGPATH_W) 'get_file_pointer_with_right_filename.c'; else $(CYGPATH_W) '$(srcdir)/get_file_pointer_with_right_filename.c'; fi`
959
960atlc-find_maximum_values.o: find_maximum_values.c
961@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-find_maximum_values.o -MD -MP -MF $(DEPDIR)/atlc-find_maximum_values.Tpo -c -o atlc-find_maximum_values.o `test -f 'find_maximum_values.c' || echo '$(srcdir)/'`find_maximum_values.c
962@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-find_maximum_values.Tpo $(DEPDIR)/atlc-find_maximum_values.Po
963@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='find_maximum_values.c' object='atlc-find_maximum_values.o' libtool=no @AMDEPBACKSLASH@
964@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
965@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-find_maximum_values.o `test -f 'find_maximum_values.c' || echo '$(srcdir)/'`find_maximum_values.c
966
967atlc-find_maximum_values.obj: find_maximum_values.c
968@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-find_maximum_values.obj -MD -MP -MF $(DEPDIR)/atlc-find_maximum_values.Tpo -c -o atlc-find_maximum_values.obj `if test -f 'find_maximum_values.c'; then $(CYGPATH_W) 'find_maximum_values.c'; else $(CYGPATH_W) '$(srcdir)/find_maximum_values.c'; fi`
969@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-find_maximum_values.Tpo $(DEPDIR)/atlc-find_maximum_values.Po
970@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='find_maximum_values.c' object='atlc-find_maximum_values.obj' libtool=no @AMDEPBACKSLASH@
971@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
972@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-find_maximum_values.obj `if test -f 'find_maximum_values.c'; then $(CYGPATH_W) 'find_maximum_values.c'; else $(CYGPATH_W) '$(srcdir)/find_maximum_values.c'; fi`
973
974atlc-calculate_colour_data.o: calculate_colour_data.c
975@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-calculate_colour_data.o -MD -MP -MF $(DEPDIR)/atlc-calculate_colour_data.Tpo -c -o atlc-calculate_colour_data.o `test -f 'calculate_colour_data.c' || echo '$(srcdir)/'`calculate_colour_data.c
976@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-calculate_colour_data.Tpo $(DEPDIR)/atlc-calculate_colour_data.Po
977@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='calculate_colour_data.c' object='atlc-calculate_colour_data.o' libtool=no @AMDEPBACKSLASH@
978@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
979@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-calculate_colour_data.o `test -f 'calculate_colour_data.c' || echo '$(srcdir)/'`calculate_colour_data.c
980
981atlc-calculate_colour_data.obj: calculate_colour_data.c
982@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-calculate_colour_data.obj -MD -MP -MF $(DEPDIR)/atlc-calculate_colour_data.Tpo -c -o atlc-calculate_colour_data.obj `if test -f 'calculate_colour_data.c'; then $(CYGPATH_W) 'calculate_colour_data.c'; else $(CYGPATH_W) '$(srcdir)/calculate_colour_data.c'; fi`
983@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-calculate_colour_data.Tpo $(DEPDIR)/atlc-calculate_colour_data.Po
984@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='calculate_colour_data.c' object='atlc-calculate_colour_data.obj' libtool=no @AMDEPBACKSLASH@
985@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
986@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-calculate_colour_data.obj `if test -f 'calculate_colour_data.c'; then $(CYGPATH_W) 'calculate_colour_data.c'; else $(CYGPATH_W) '$(srcdir)/calculate_colour_data.c'; fi`
987
988atlc-find_electric_fields.o: find_electric_fields.c
989@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-find_electric_fields.o -MD -MP -MF $(DEPDIR)/atlc-find_electric_fields.Tpo -c -o atlc-find_electric_fields.o `test -f 'find_electric_fields.c' || echo '$(srcdir)/'`find_electric_fields.c
990@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-find_electric_fields.Tpo $(DEPDIR)/atlc-find_electric_fields.Po
991@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='find_electric_fields.c' object='atlc-find_electric_fields.o' libtool=no @AMDEPBACKSLASH@
992@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
993@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-find_electric_fields.o `test -f 'find_electric_fields.c' || echo '$(srcdir)/'`find_electric_fields.c
994
995atlc-find_electric_fields.obj: find_electric_fields.c
996@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-find_electric_fields.obj -MD -MP -MF $(DEPDIR)/atlc-find_electric_fields.Tpo -c -o atlc-find_electric_fields.obj `if test -f 'find_electric_fields.c'; then $(CYGPATH_W) 'find_electric_fields.c'; else $(CYGPATH_W) '$(srcdir)/find_electric_fields.c'; fi`
997@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-find_electric_fields.Tpo $(DEPDIR)/atlc-find_electric_fields.Po
998@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='find_electric_fields.c' object='atlc-find_electric_fields.obj' libtool=no @AMDEPBACKSLASH@
999@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1000@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-find_electric_fields.obj `if test -f 'find_electric_fields.c'; then $(CYGPATH_W) 'find_electric_fields.c'; else $(CYGPATH_W) '$(srcdir)/find_electric_fields.c'; fi`
1001
1002atlc-get_options.o: get_options.c
1003@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-get_options.o -MD -MP -MF $(DEPDIR)/atlc-get_options.Tpo -c -o atlc-get_options.o `test -f 'get_options.c' || echo '$(srcdir)/'`get_options.c
1004@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-get_options.Tpo $(DEPDIR)/atlc-get_options.Po
1005@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='get_options.c' object='atlc-get_options.o' libtool=no @AMDEPBACKSLASH@
1006@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1007@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-get_options.o `test -f 'get_options.c' || echo '$(srcdir)/'`get_options.c
1008
1009atlc-get_options.obj: get_options.c
1010@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-get_options.obj -MD -MP -MF $(DEPDIR)/atlc-get_options.Tpo -c -o atlc-get_options.obj `if test -f 'get_options.c'; then $(CYGPATH_W) 'get_options.c'; else $(CYGPATH_W) '$(srcdir)/get_options.c'; fi`
1011@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-get_options.Tpo $(DEPDIR)/atlc-get_options.Po
1012@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='get_options.c' object='atlc-get_options.obj' libtool=no @AMDEPBACKSLASH@
1013@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1014@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-get_options.obj `if test -f 'get_options.c'; then $(CYGPATH_W) 'get_options.c'; else $(CYGPATH_W) '$(srcdir)/get_options.c'; fi`
1015
1016atlc-exit_with_msg_and_exit_code.o: exit_with_msg_and_exit_code.c
1017@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-exit_with_msg_and_exit_code.o -MD -MP -MF $(DEPDIR)/atlc-exit_with_msg_and_exit_code.Tpo -c -o atlc-exit_with_msg_and_exit_code.o `test -f 'exit_with_msg_and_exit_code.c' || echo '$(srcdir)/'`exit_with_msg_and_exit_code.c
1018@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-exit_with_msg_and_exit_code.Tpo $(DEPDIR)/atlc-exit_with_msg_and_exit_code.Po
1019@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='exit_with_msg_and_exit_code.c' object='atlc-exit_with_msg_and_exit_code.o' libtool=no @AMDEPBACKSLASH@
1020@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1021@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-exit_with_msg_and_exit_code.o `test -f 'exit_with_msg_and_exit_code.c' || echo '$(srcdir)/'`exit_with_msg_and_exit_code.c
1022
1023atlc-exit_with_msg_and_exit_code.obj: exit_with_msg_and_exit_code.c
1024@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-exit_with_msg_and_exit_code.obj -MD -MP -MF $(DEPDIR)/atlc-exit_with_msg_and_exit_code.Tpo -c -o atlc-exit_with_msg_and_exit_code.obj `if test -f 'exit_with_msg_and_exit_code.c'; then $(CYGPATH_W) 'exit_with_msg_and_exit_code.c'; else $(CYGPATH_W) '$(srcdir)/exit_with_msg_and_exit_code.c'; fi`
1025@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-exit_with_msg_and_exit_code.Tpo $(DEPDIR)/atlc-exit_with_msg_and_exit_code.Po
1026@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='exit_with_msg_and_exit_code.c' object='atlc-exit_with_msg_and_exit_code.obj' libtool=no @AMDEPBACKSLASH@
1027@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1028@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-exit_with_msg_and_exit_code.obj `if test -f 'exit_with_msg_and_exit_code.c'; then $(CYGPATH_W) 'exit_with_msg_and_exit_code.c'; else $(CYGPATH_W) '$(srcdir)/exit_with_msg_and_exit_code.c'; fi`
1029
1030atlc-do_fd_calculation.o: do_fd_calculation.c
1031@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-do_fd_calculation.o -MD -MP -MF $(DEPDIR)/atlc-do_fd_calculation.Tpo -c -o atlc-do_fd_calculation.o `test -f 'do_fd_calculation.c' || echo '$(srcdir)/'`do_fd_calculation.c
1032@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-do_fd_calculation.Tpo $(DEPDIR)/atlc-do_fd_calculation.Po
1033@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='do_fd_calculation.c' object='atlc-do_fd_calculation.o' libtool=no @AMDEPBACKSLASH@
1034@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1035@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-do_fd_calculation.o `test -f 'do_fd_calculation.c' || echo '$(srcdir)/'`do_fd_calculation.c
1036
1037atlc-do_fd_calculation.obj: do_fd_calculation.c
1038@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-do_fd_calculation.obj -MD -MP -MF $(DEPDIR)/atlc-do_fd_calculation.Tpo -c -o atlc-do_fd_calculation.obj `if test -f 'do_fd_calculation.c'; then $(CYGPATH_W) 'do_fd_calculation.c'; else $(CYGPATH_W) '$(srcdir)/do_fd_calculation.c'; fi`
1039@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-do_fd_calculation.Tpo $(DEPDIR)/atlc-do_fd_calculation.Po
1040@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='do_fd_calculation.c' object='atlc-do_fd_calculation.obj' libtool=no @AMDEPBACKSLASH@
1041@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1042@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-do_fd_calculation.obj `if test -f 'do_fd_calculation.c'; then $(CYGPATH_W) 'do_fd_calculation.c'; else $(CYGPATH_W) '$(srcdir)/do_fd_calculation.c'; fi`
1043
1044atlc-swap_conductor_voltages.o: swap_conductor_voltages.c
1045@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-swap_conductor_voltages.o -MD -MP -MF $(DEPDIR)/atlc-swap_conductor_voltages.Tpo -c -o atlc-swap_conductor_voltages.o `test -f 'swap_conductor_voltages.c' || echo '$(srcdir)/'`swap_conductor_voltages.c
1046@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-swap_conductor_voltages.Tpo $(DEPDIR)/atlc-swap_conductor_voltages.Po
1047@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='swap_conductor_voltages.c' object='atlc-swap_conductor_voltages.o' libtool=no @AMDEPBACKSLASH@
1048@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1049@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-swap_conductor_voltages.o `test -f 'swap_conductor_voltages.c' || echo '$(srcdir)/'`swap_conductor_voltages.c
1050
1051atlc-swap_conductor_voltages.obj: swap_conductor_voltages.c
1052@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-swap_conductor_voltages.obj -MD -MP -MF $(DEPDIR)/atlc-swap_conductor_voltages.Tpo -c -o atlc-swap_conductor_voltages.obj `if test -f 'swap_conductor_voltages.c'; then $(CYGPATH_W) 'swap_conductor_voltages.c'; else $(CYGPATH_W) '$(srcdir)/swap_conductor_voltages.c'; fi`
1053@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-swap_conductor_voltages.Tpo $(DEPDIR)/atlc-swap_conductor_voltages.Po
1054@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='swap_conductor_voltages.c' object='atlc-swap_conductor_voltages.obj' libtool=no @AMDEPBACKSLASH@
1055@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1056@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-swap_conductor_voltages.obj `if test -f 'swap_conductor_voltages.c'; then $(CYGPATH_W) 'swap_conductor_voltages.c'; else $(CYGPATH_W) '$(srcdir)/swap_conductor_voltages.c'; fi`
1057
1058atlc-print_copyright.o: print_copyright.c
1059@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-print_copyright.o -MD -MP -MF $(DEPDIR)/atlc-print_copyright.Tpo -c -o atlc-print_copyright.o `test -f 'print_copyright.c' || echo '$(srcdir)/'`print_copyright.c
1060@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-print_copyright.Tpo $(DEPDIR)/atlc-print_copyright.Po
1061@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='print_copyright.c' object='atlc-print_copyright.o' libtool=no @AMDEPBACKSLASH@
1062@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1063@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-print_copyright.o `test -f 'print_copyright.c' || echo '$(srcdir)/'`print_copyright.c
1064
1065atlc-print_copyright.obj: print_copyright.c
1066@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-print_copyright.obj -MD -MP -MF $(DEPDIR)/atlc-print_copyright.Tpo -c -o atlc-print_copyright.obj `if test -f 'print_copyright.c'; then $(CYGPATH_W) 'print_copyright.c'; else $(CYGPATH_W) '$(srcdir)/print_copyright.c'; fi`
1067@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-print_copyright.Tpo $(DEPDIR)/atlc-print_copyright.Po
1068@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='print_copyright.c' object='atlc-print_copyright.obj' libtool=no @AMDEPBACKSLASH@
1069@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1070@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-print_copyright.obj `if test -f 'print_copyright.c'; then $(CYGPATH_W) 'print_copyright.c'; else $(CYGPATH_W) '$(srcdir)/print_copyright.c'; fi`
1071
1072atlc-print_data_for_directional_couplers.o: print_data_for_directional_couplers.c
1073@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-print_data_for_directional_couplers.o -MD -MP -MF $(DEPDIR)/atlc-print_data_for_directional_couplers.Tpo -c -o atlc-print_data_for_directional_couplers.o `test -f 'print_data_for_directional_couplers.c' || echo '$(srcdir)/'`print_data_for_directional_couplers.c
1074@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-print_data_for_directional_couplers.Tpo $(DEPDIR)/atlc-print_data_for_directional_couplers.Po
1075@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='print_data_for_directional_couplers.c' object='atlc-print_data_for_directional_couplers.o' libtool=no @AMDEPBACKSLASH@
1076@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1077@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-print_data_for_directional_couplers.o `test -f 'print_data_for_directional_couplers.c' || echo '$(srcdir)/'`print_data_for_directional_couplers.c
1078
1079atlc-print_data_for_directional_couplers.obj: print_data_for_directional_couplers.c
1080@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-print_data_for_directional_couplers.obj -MD -MP -MF $(DEPDIR)/atlc-print_data_for_directional_couplers.Tpo -c -o atlc-print_data_for_directional_couplers.obj `if test -f 'print_data_for_directional_couplers.c'; then $(CYGPATH_W) 'print_data_for_directional_couplers.c'; else $(CYGPATH_W) '$(srcdir)/print_data_for_directional_couplers.c'; fi`
1081@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-print_data_for_directional_couplers.Tpo $(DEPDIR)/atlc-print_data_for_directional_couplers.Po
1082@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='print_data_for_directional_couplers.c' object='atlc-print_data_for_directional_couplers.obj' libtool=no @AMDEPBACKSLASH@
1083@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1084@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-print_data_for_directional_couplers.obj `if test -f 'print_data_for_directional_couplers.c'; then $(CYGPATH_W) 'print_data_for_directional_couplers.c'; else $(CYGPATH_W) '$(srcdir)/print_data_for_directional_couplers.c'; fi`
1085
1086atlc-print_data_for_two_conductor_lines.o: print_data_for_two_conductor_lines.c
1087@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-print_data_for_two_conductor_lines.o -MD -MP -MF $(DEPDIR)/atlc-print_data_for_two_conductor_lines.Tpo -c -o atlc-print_data_for_two_conductor_lines.o `test -f 'print_data_for_two_conductor_lines.c' || echo '$(srcdir)/'`print_data_for_two_conductor_lines.c
1088@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-print_data_for_two_conductor_lines.Tpo $(DEPDIR)/atlc-print_data_for_two_conductor_lines.Po
1089@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='print_data_for_two_conductor_lines.c' object='atlc-print_data_for_two_conductor_lines.o' libtool=no @AMDEPBACKSLASH@
1090@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1091@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-print_data_for_two_conductor_lines.o `test -f 'print_data_for_two_conductor_lines.c' || echo '$(srcdir)/'`print_data_for_two_conductor_lines.c
1092
1093atlc-print_data_for_two_conductor_lines.obj: print_data_for_two_conductor_lines.c
1094@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-print_data_for_two_conductor_lines.obj -MD -MP -MF $(DEPDIR)/atlc-print_data_for_two_conductor_lines.Tpo -c -o atlc-print_data_for_two_conductor_lines.obj `if test -f 'print_data_for_two_conductor_lines.c'; then $(CYGPATH_W) 'print_data_for_two_conductor_lines.c'; else $(CYGPATH_W) '$(srcdir)/print_data_for_two_conductor_lines.c'; fi`
1095@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-print_data_for_two_conductor_lines.Tpo $(DEPDIR)/atlc-print_data_for_two_conductor_lines.Po
1096@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='print_data_for_two_conductor_lines.c' object='atlc-print_data_for_two_conductor_lines.obj' libtool=no @AMDEPBACKSLASH@
1097@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1098@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-print_data_for_two_conductor_lines.obj `if test -f 'print_data_for_two_conductor_lines.c'; then $(CYGPATH_W) 'print_data_for_two_conductor_lines.c'; else $(CYGPATH_W) '$(srcdir)/print_data_for_two_conductor_lines.c'; fi`
1099
1100atlc-set_data_to_sensible_starting_values.o: set_data_to_sensible_starting_values.c
1101@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-set_data_to_sensible_starting_values.o -MD -MP -MF $(DEPDIR)/atlc-set_data_to_sensible_starting_values.Tpo -c -o atlc-set_data_to_sensible_starting_values.o `test -f 'set_data_to_sensible_starting_values.c' || echo '$(srcdir)/'`set_data_to_sensible_starting_values.c
1102@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-set_data_to_sensible_starting_values.Tpo $(DEPDIR)/atlc-set_data_to_sensible_starting_values.Po
1103@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='set_data_to_sensible_starting_values.c' object='atlc-set_data_to_sensible_starting_values.o' libtool=no @AMDEPBACKSLASH@
1104@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1105@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-set_data_to_sensible_starting_values.o `test -f 'set_data_to_sensible_starting_values.c' || echo '$(srcdir)/'`set_data_to_sensible_starting_values.c
1106
1107atlc-set_data_to_sensible_starting_values.obj: set_data_to_sensible_starting_values.c
1108@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-set_data_to_sensible_starting_values.obj -MD -MP -MF $(DEPDIR)/atlc-set_data_to_sensible_starting_values.Tpo -c -o atlc-set_data_to_sensible_starting_values.obj `if test -f 'set_data_to_sensible_starting_values.c'; then $(CYGPATH_W) 'set_data_to_sensible_starting_values.c'; else $(CYGPATH_W) '$(srcdir)/set_data_to_sensible_starting_values.c'; fi`
1109@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-set_data_to_sensible_starting_values.Tpo $(DEPDIR)/atlc-set_data_to_sensible_starting_values.Po
1110@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='set_data_to_sensible_starting_values.c' object='atlc-set_data_to_sensible_starting_values.obj' libtool=no @AMDEPBACKSLASH@
1111@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1112@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-set_data_to_sensible_starting_values.obj `if test -f 'set_data_to_sensible_starting_values.c'; then $(CYGPATH_W) 'set_data_to_sensible_starting_values.c'; else $(CYGPATH_W) '$(srcdir)/set_data_to_sensible_starting_values.c'; fi`
1113
1114atlc-write_fields_for_two_conductor_lines.o: write_fields_for_two_conductor_lines.c
1115@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-write_fields_for_two_conductor_lines.o -MD -MP -MF $(DEPDIR)/atlc-write_fields_for_two_conductor_lines.Tpo -c -o atlc-write_fields_for_two_conductor_lines.o `test -f 'write_fields_for_two_conductor_lines.c' || echo '$(srcdir)/'`write_fields_for_two_conductor_lines.c
1116@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-write_fields_for_two_conductor_lines.Tpo $(DEPDIR)/atlc-write_fields_for_two_conductor_lines.Po
1117@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='write_fields_for_two_conductor_lines.c' object='atlc-write_fields_for_two_conductor_lines.o' libtool=no @AMDEPBACKSLASH@
1118@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1119@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-write_fields_for_two_conductor_lines.o `test -f 'write_fields_for_two_conductor_lines.c' || echo '$(srcdir)/'`write_fields_for_two_conductor_lines.c
1120
1121atlc-write_fields_for_two_conductor_lines.obj: write_fields_for_two_conductor_lines.c
1122@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-write_fields_for_two_conductor_lines.obj -MD -MP -MF $(DEPDIR)/atlc-write_fields_for_two_conductor_lines.Tpo -c -o atlc-write_fields_for_two_conductor_lines.obj `if test -f 'write_fields_for_two_conductor_lines.c'; then $(CYGPATH_W) 'write_fields_for_two_conductor_lines.c'; else $(CYGPATH_W) '$(srcdir)/write_fields_for_two_conductor_lines.c'; fi`
1123@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-write_fields_for_two_conductor_lines.Tpo $(DEPDIR)/atlc-write_fields_for_two_conductor_lines.Po
1124@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='write_fields_for_two_conductor_lines.c' object='atlc-write_fields_for_two_conductor_lines.obj' libtool=no @AMDEPBACKSLASH@
1125@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1126@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-write_fields_for_two_conductor_lines.obj `if test -f 'write_fields_for_two_conductor_lines.c'; then $(CYGPATH_W) 'write_fields_for_two_conductor_lines.c'; else $(CYGPATH_W) '$(srcdir)/write_fields_for_two_conductor_lines.c'; fi`
1127
1128atlc-write_fields_for_directional_couplers.o: write_fields_for_directional_couplers.c
1129@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-write_fields_for_directional_couplers.o -MD -MP -MF $(DEPDIR)/atlc-write_fields_for_directional_couplers.Tpo -c -o atlc-write_fields_for_directional_couplers.o `test -f 'write_fields_for_directional_couplers.c' || echo '$(srcdir)/'`write_fields_for_directional_couplers.c
1130@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-write_fields_for_directional_couplers.Tpo $(DEPDIR)/atlc-write_fields_for_directional_couplers.Po
1131@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='write_fields_for_directional_couplers.c' object='atlc-write_fields_for_directional_couplers.o' libtool=no @AMDEPBACKSLASH@
1132@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1133@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-write_fields_for_directional_couplers.o `test -f 'write_fields_for_directional_couplers.c' || echo '$(srcdir)/'`write_fields_for_directional_couplers.c
1134
1135atlc-write_fields_for_directional_couplers.obj: write_fields_for_directional_couplers.c
1136@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT atlc-write_fields_for_directional_couplers.obj -MD -MP -MF $(DEPDIR)/atlc-write_fields_for_directional_couplers.Tpo -c -o atlc-write_fields_for_directional_couplers.obj `if test -f 'write_fields_for_directional_couplers.c'; then $(CYGPATH_W) 'write_fields_for_directional_couplers.c'; else $(CYGPATH_W) '$(srcdir)/write_fields_for_directional_couplers.c'; fi`
1137@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/atlc-write_fields_for_directional_couplers.Tpo $(DEPDIR)/atlc-write_fields_for_directional_couplers.Po
1138@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='write_fields_for_directional_couplers.c' object='atlc-write_fields_for_directional_couplers.obj' libtool=no @AMDEPBACKSLASH@
1139@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1140@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(atlc_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o atlc-write_fields_for_directional_couplers.obj `if test -f 'write_fields_for_directional_couplers.c'; then $(CYGPATH_W) 'write_fields_for_directional_couplers.c'; else $(CYGPATH_W) '$(srcdir)/write_fields_for_directional_couplers.c'; fi`
1141
1142create_bmp_for_stripline_coupler-create_bmp_for_stripline_coupler.o: create_bmp_for_stripline_coupler.c
1143@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -MT create_bmp_for_stripline_coupler-create_bmp_for_stripline_coupler.o -MD -MP -MF $(DEPDIR)/create_bmp_for_stripline_coupler-create_bmp_for_stripline_coupler.Tpo -c -o create_bmp_for_stripline_coupler-create_bmp_for_stripline_coupler.o `test -f 'create_bmp_for_stripline_coupler.c' || echo '$(srcdir)/'`create_bmp_for_stripline_coupler.c
1144@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/create_bmp_for_stripline_coupler-create_bmp_for_stripline_coupler.Tpo $(DEPDIR)/create_bmp_for_stripline_coupler-create_bmp_for_stripline_coupler.Po
1145@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='create_bmp_for_stripline_coupler.c' object='create_bmp_for_stripline_coupler-create_bmp_for_stripline_coupler.o' libtool=no @AMDEPBACKSLASH@
1146@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1147@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -c -o create_bmp_for_stripline_coupler-create_bmp_for_stripline_coupler.o `test -f 'create_bmp_for_stripline_coupler.c' || echo '$(srcdir)/'`create_bmp_for_stripline_coupler.c
1148
1149create_bmp_for_stripline_coupler-create_bmp_for_stripline_coupler.obj: create_bmp_for_stripline_coupler.c
1150@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -MT create_bmp_for_stripline_coupler-create_bmp_for_stripline_coupler.obj -MD -MP -MF $(DEPDIR)/create_bmp_for_stripline_coupler-create_bmp_for_stripline_coupler.Tpo -c -o create_bmp_for_stripline_coupler-create_bmp_for_stripline_coupler.obj `if test -f 'create_bmp_for_stripline_coupler.c'; then $(CYGPATH_W) 'create_bmp_for_stripline_coupler.c'; else $(CYGPATH_W) '$(srcdir)/create_bmp_for_stripline_coupler.c'; fi`
1151@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/create_bmp_for_stripline_coupler-create_bmp_for_stripline_coupler.Tpo $(DEPDIR)/create_bmp_for_stripline_coupler-create_bmp_for_stripline_coupler.Po
1152@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='create_bmp_for_stripline_coupler.c' object='create_bmp_for_stripline_coupler-create_bmp_for_stripline_coupler.obj' libtool=no @AMDEPBACKSLASH@
1153@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1154@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -c -o create_bmp_for_stripline_coupler-create_bmp_for_stripline_coupler.obj `if test -f 'create_bmp_for_stripline_coupler.c'; then $(CYGPATH_W) 'create_bmp_for_stripline_coupler.c'; else $(CYGPATH_W) '$(srcdir)/create_bmp_for_stripline_coupler.c'; fi`
1155
1156create_bmp_for_stripline_coupler-usage_create_bmp_for_stripline_coupler.o: usage_create_bmp_for_stripline_coupler.c
1157@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -MT create_bmp_for_stripline_coupler-usage_create_bmp_for_stripline_coupler.o -MD -MP -MF $(DEPDIR)/create_bmp_for_stripline_coupler-usage_create_bmp_for_stripline_coupler.Tpo -c -o create_bmp_for_stripline_coupler-usage_create_bmp_for_stripline_coupler.o `test -f 'usage_create_bmp_for_stripline_coupler.c' || echo '$(srcdir)/'`usage_create_bmp_for_stripline_coupler.c
1158@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/create_bmp_for_stripline_coupler-usage_create_bmp_for_stripline_coupler.Tpo $(DEPDIR)/create_bmp_for_stripline_coupler-usage_create_bmp_for_stripline_coupler.Po
1159@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='usage_create_bmp_for_stripline_coupler.c' object='create_bmp_for_stripline_coupler-usage_create_bmp_for_stripline_coupler.o' libtool=no @AMDEPBACKSLASH@
1160@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1161@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -c -o create_bmp_for_stripline_coupler-usage_create_bmp_for_stripline_coupler.o `test -f 'usage_create_bmp_for_stripline_coupler.c' || echo '$(srcdir)/'`usage_create_bmp_for_stripline_coupler.c
1162
1163create_bmp_for_stripline_coupler-usage_create_bmp_for_stripline_coupler.obj: usage_create_bmp_for_stripline_coupler.c
1164@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -MT create_bmp_for_stripline_coupler-usage_create_bmp_for_stripline_coupler.obj -MD -MP -MF $(DEPDIR)/create_bmp_for_stripline_coupler-usage_create_bmp_for_stripline_coupler.Tpo -c -o create_bmp_for_stripline_coupler-usage_create_bmp_for_stripline_coupler.obj `if test -f 'usage_create_bmp_for_stripline_coupler.c'; then $(CYGPATH_W) 'usage_create_bmp_for_stripline_coupler.c'; else $(CYGPATH_W) '$(srcdir)/usage_create_bmp_for_stripline_coupler.c'; fi`
1165@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/create_bmp_for_stripline_coupler-usage_create_bmp_for_stripline_coupler.Tpo $(DEPDIR)/create_bmp_for_stripline_coupler-usage_create_bmp_for_stripline_coupler.Po
1166@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='usage_create_bmp_for_stripline_coupler.c' object='create_bmp_for_stripline_coupler-usage_create_bmp_for_stripline_coupler.obj' libtool=no @AMDEPBACKSLASH@
1167@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1168@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -c -o create_bmp_for_stripline_coupler-usage_create_bmp_for_stripline_coupler.obj `if test -f 'usage_create_bmp_for_stripline_coupler.c'; then $(CYGPATH_W) 'usage_create_bmp_for_stripline_coupler.c'; else $(CYGPATH_W) '$(srcdir)/usage_create_bmp_for_stripline_coupler.c'; fi`
1169
1170create_bmp_for_stripline_coupler-calculate_Zodd_and_Zeven.o: calculate_Zodd_and_Zeven.c
1171@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -MT create_bmp_for_stripline_coupler-calculate_Zodd_and_Zeven.o -MD -MP -MF $(DEPDIR)/create_bmp_for_stripline_coupler-calculate_Zodd_and_Zeven.Tpo -c -o create_bmp_for_stripline_coupler-calculate_Zodd_and_Zeven.o `test -f 'calculate_Zodd_and_Zeven.c' || echo '$(srcdir)/'`calculate_Zodd_and_Zeven.c
1172@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/create_bmp_for_stripline_coupler-calculate_Zodd_and_Zeven.Tpo $(DEPDIR)/create_bmp_for_stripline_coupler-calculate_Zodd_and_Zeven.Po
1173@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='calculate_Zodd_and_Zeven.c' object='create_bmp_for_stripline_coupler-calculate_Zodd_and_Zeven.o' libtool=no @AMDEPBACKSLASH@
1174@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1175@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -c -o create_bmp_for_stripline_coupler-calculate_Zodd_and_Zeven.o `test -f 'calculate_Zodd_and_Zeven.c' || echo '$(srcdir)/'`calculate_Zodd_and_Zeven.c
1176
1177create_bmp_for_stripline_coupler-calculate_Zodd_and_Zeven.obj: calculate_Zodd_and_Zeven.c
1178@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -MT create_bmp_for_stripline_coupler-calculate_Zodd_and_Zeven.obj -MD -MP -MF $(DEPDIR)/create_bmp_for_stripline_coupler-calculate_Zodd_and_Zeven.Tpo -c -o create_bmp_for_stripline_coupler-calculate_Zodd_and_Zeven.obj `if test -f 'calculate_Zodd_and_Zeven.c'; then $(CYGPATH_W) 'calculate_Zodd_and_Zeven.c'; else $(CYGPATH_W) '$(srcdir)/calculate_Zodd_and_Zeven.c'; fi`
1179@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/create_bmp_for_stripline_coupler-calculate_Zodd_and_Zeven.Tpo $(DEPDIR)/create_bmp_for_stripline_coupler-calculate_Zodd_and_Zeven.Po
1180@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='calculate_Zodd_and_Zeven.c' object='create_bmp_for_stripline_coupler-calculate_Zodd_and_Zeven.obj' libtool=no @AMDEPBACKSLASH@
1181@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1182@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -c -o create_bmp_for_stripline_coupler-calculate_Zodd_and_Zeven.obj `if test -f 'calculate_Zodd_and_Zeven.c'; then $(CYGPATH_W) 'calculate_Zodd_and_Zeven.c'; else $(CYGPATH_W) '$(srcdir)/calculate_Zodd_and_Zeven.c'; fi`
1183
1184create_bmp_for_stripline_coupler-get_options.o: get_options.c
1185@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -MT create_bmp_for_stripline_coupler-get_options.o -MD -MP -MF $(DEPDIR)/create_bmp_for_stripline_coupler-get_options.Tpo -c -o create_bmp_for_stripline_coupler-get_options.o `test -f 'get_options.c' || echo '$(srcdir)/'`get_options.c
1186@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/create_bmp_for_stripline_coupler-get_options.Tpo $(DEPDIR)/create_bmp_for_stripline_coupler-get_options.Po
1187@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='get_options.c' object='create_bmp_for_stripline_coupler-get_options.o' libtool=no @AMDEPBACKSLASH@
1188@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1189@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -c -o create_bmp_for_stripline_coupler-get_options.o `test -f 'get_options.c' || echo '$(srcdir)/'`get_options.c
1190
1191create_bmp_for_stripline_coupler-get_options.obj: get_options.c
1192@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -MT create_bmp_for_stripline_coupler-get_options.obj -MD -MP -MF $(DEPDIR)/create_bmp_for_stripline_coupler-get_options.Tpo -c -o create_bmp_for_stripline_coupler-get_options.obj `if test -f 'get_options.c'; then $(CYGPATH_W) 'get_options.c'; else $(CYGPATH_W) '$(srcdir)/get_options.c'; fi`
1193@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/create_bmp_for_stripline_coupler-get_options.Tpo $(DEPDIR)/create_bmp_for_stripline_coupler-get_options.Po
1194@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='get_options.c' object='create_bmp_for_stripline_coupler-get_options.obj' libtool=no @AMDEPBACKSLASH@
1195@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1196@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -c -o create_bmp_for_stripline_coupler-get_options.obj `if test -f 'get_options.c'; then $(CYGPATH_W) 'get_options.c'; else $(CYGPATH_W) '$(srcdir)/get_options.c'; fi`
1197
1198create_bmp_for_stripline_coupler-calculate_integer_values.o: calculate_integer_values.c
1199@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -MT create_bmp_for_stripline_coupler-calculate_integer_values.o -MD -MP -MF $(DEPDIR)/create_bmp_for_stripline_coupler-calculate_integer_values.Tpo -c -o create_bmp_for_stripline_coupler-calculate_integer_values.o `test -f 'calculate_integer_values.c' || echo '$(srcdir)/'`calculate_integer_values.c
1200@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/create_bmp_for_stripline_coupler-calculate_integer_values.Tpo $(DEPDIR)/create_bmp_for_stripline_coupler-calculate_integer_values.Po
1201@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='calculate_integer_values.c' object='create_bmp_for_stripline_coupler-calculate_integer_values.o' libtool=no @AMDEPBACKSLASH@
1202@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1203@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -c -o create_bmp_for_stripline_coupler-calculate_integer_values.o `test -f 'calculate_integer_values.c' || echo '$(srcdir)/'`calculate_integer_values.c
1204
1205create_bmp_for_stripline_coupler-calculate_integer_values.obj: calculate_integer_values.c
1206@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -MT create_bmp_for_stripline_coupler-calculate_integer_values.obj -MD -MP -MF $(DEPDIR)/create_bmp_for_stripline_coupler-calculate_integer_values.Tpo -c -o create_bmp_for_stripline_coupler-calculate_integer_values.obj `if test -f 'calculate_integer_values.c'; then $(CYGPATH_W) 'calculate_integer_values.c'; else $(CYGPATH_W) '$(srcdir)/calculate_integer_values.c'; fi`
1207@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/create_bmp_for_stripline_coupler-calculate_integer_values.Tpo $(DEPDIR)/create_bmp_for_stripline_coupler-calculate_integer_values.Po
1208@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='calculate_integer_values.c' object='create_bmp_for_stripline_coupler-calculate_integer_values.obj' libtool=no @AMDEPBACKSLASH@
1209@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1210@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -c -o create_bmp_for_stripline_coupler-calculate_integer_values.obj `if test -f 'calculate_integer_values.c'; then $(CYGPATH_W) 'calculate_integer_values.c'; else $(CYGPATH_W) '$(srcdir)/calculate_integer_values.c'; fi`
1211
1212create_bmp_for_stripline_coupler-write_bitmap.o: write_bitmap.c
1213@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -MT create_bmp_for_stripline_coupler-write_bitmap.o -MD -MP -MF $(DEPDIR)/create_bmp_for_stripline_coupler-write_bitmap.Tpo -c -o create_bmp_for_stripline_coupler-write_bitmap.o `test -f 'write_bitmap.c' || echo '$(srcdir)/'`write_bitmap.c
1214@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/create_bmp_for_stripline_coupler-write_bitmap.Tpo $(DEPDIR)/create_bmp_for_stripline_coupler-write_bitmap.Po
1215@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='write_bitmap.c' object='create_bmp_for_stripline_coupler-write_bitmap.o' libtool=no @AMDEPBACKSLASH@
1216@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1217@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -c -o create_bmp_for_stripline_coupler-write_bitmap.o `test -f 'write_bitmap.c' || echo '$(srcdir)/'`write_bitmap.c
1218
1219create_bmp_for_stripline_coupler-write_bitmap.obj: write_bitmap.c
1220@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -MT create_bmp_for_stripline_coupler-write_bitmap.obj -MD -MP -MF $(DEPDIR)/create_bmp_for_stripline_coupler-write_bitmap.Tpo -c -o create_bmp_for_stripline_coupler-write_bitmap.obj `if test -f 'write_bitmap.c'; then $(CYGPATH_W) 'write_bitmap.c'; else $(CYGPATH_W) '$(srcdir)/write_bitmap.c'; fi`
1221@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/create_bmp_for_stripline_coupler-write_bitmap.Tpo $(DEPDIR)/create_bmp_for_stripline_coupler-write_bitmap.Po
1222@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='write_bitmap.c' object='create_bmp_for_stripline_coupler-write_bitmap.obj' libtool=no @AMDEPBACKSLASH@
1223@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1224@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -c -o create_bmp_for_stripline_coupler-write_bitmap.obj `if test -f 'write_bitmap.c'; then $(CYGPATH_W) 'write_bitmap.c'; else $(CYGPATH_W) '$(srcdir)/write_bitmap.c'; fi`
1225
1226create_bmp_for_stripline_coupler-memory.o: memory.c
1227@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -MT create_bmp_for_stripline_coupler-memory.o -MD -MP -MF $(DEPDIR)/create_bmp_for_stripline_coupler-memory.Tpo -c -o create_bmp_for_stripline_coupler-memory.o `test -f 'memory.c' || echo '$(srcdir)/'`memory.c
1228@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/create_bmp_for_stripline_coupler-memory.Tpo $(DEPDIR)/create_bmp_for_stripline_coupler-memory.Po
1229@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='memory.c' object='create_bmp_for_stripline_coupler-memory.o' libtool=no @AMDEPBACKSLASH@
1230@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1231@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -c -o create_bmp_for_stripline_coupler-memory.o `test -f 'memory.c' || echo '$(srcdir)/'`memory.c
1232
1233create_bmp_for_stripline_coupler-memory.obj: memory.c
1234@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -MT create_bmp_for_stripline_coupler-memory.obj -MD -MP -MF $(DEPDIR)/create_bmp_for_stripline_coupler-memory.Tpo -c -o create_bmp_for_stripline_coupler-memory.obj `if test -f 'memory.c'; then $(CYGPATH_W) 'memory.c'; else $(CYGPATH_W) '$(srcdir)/memory.c'; fi`
1235@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/create_bmp_for_stripline_coupler-memory.Tpo $(DEPDIR)/create_bmp_for_stripline_coupler-memory.Po
1236@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='memory.c' object='create_bmp_for_stripline_coupler-memory.obj' libtool=no @AMDEPBACKSLASH@
1237@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1238@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -c -o create_bmp_for_stripline_coupler-memory.obj `if test -f 'memory.c'; then $(CYGPATH_W) 'memory.c'; else $(CYGPATH_W) '$(srcdir)/memory.c'; fi`
1239
1240create_bmp_for_stripline_coupler-write_bitmap_out.o: write_bitmap_out.c
1241@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -MT create_bmp_for_stripline_coupler-write_bitmap_out.o -MD -MP -MF $(DEPDIR)/create_bmp_for_stripline_coupler-write_bitmap_out.Tpo -c -o create_bmp_for_stripline_coupler-write_bitmap_out.o `test -f 'write_bitmap_out.c' || echo '$(srcdir)/'`write_bitmap_out.c
1242@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/create_bmp_for_stripline_coupler-write_bitmap_out.Tpo $(DEPDIR)/create_bmp_for_stripline_coupler-write_bitmap_out.Po
1243@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='write_bitmap_out.c' object='create_bmp_for_stripline_coupler-write_bitmap_out.o' libtool=no @AMDEPBACKSLASH@
1244@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1245@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -c -o create_bmp_for_stripline_coupler-write_bitmap_out.o `test -f 'write_bitmap_out.c' || echo '$(srcdir)/'`write_bitmap_out.c
1246
1247create_bmp_for_stripline_coupler-write_bitmap_out.obj: write_bitmap_out.c
1248@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -MT create_bmp_for_stripline_coupler-write_bitmap_out.obj -MD -MP -MF $(DEPDIR)/create_bmp_for_stripline_coupler-write_bitmap_out.Tpo -c -o create_bmp_for_stripline_coupler-write_bitmap_out.obj `if test -f 'write_bitmap_out.c'; then $(CYGPATH_W) 'write_bitmap_out.c'; else $(CYGPATH_W) '$(srcdir)/write_bitmap_out.c'; fi`
1249@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/create_bmp_for_stripline_coupler-write_bitmap_out.Tpo $(DEPDIR)/create_bmp_for_stripline_coupler-write_bitmap_out.Po
1250@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='write_bitmap_out.c' object='create_bmp_for_stripline_coupler-write_bitmap_out.obj' libtool=no @AMDEPBACKSLASH@
1251@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1252@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -c -o create_bmp_for_stripline_coupler-write_bitmap_out.obj `if test -f 'write_bitmap_out.c'; then $(CYGPATH_W) 'write_bitmap_out.c'; else $(CYGPATH_W) '$(srcdir)/write_bitmap_out.c'; fi`
1253
1254create_bmp_for_stripline_coupler-align_bitmap_image.o: align_bitmap_image.c
1255@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -MT create_bmp_for_stripline_coupler-align_bitmap_image.o -MD -MP -MF $(DEPDIR)/create_bmp_for_stripline_coupler-align_bitmap_image.Tpo -c -o create_bmp_for_stripline_coupler-align_bitmap_image.o `test -f 'align_bitmap_image.c' || echo '$(srcdir)/'`align_bitmap_image.c
1256@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/create_bmp_for_stripline_coupler-align_bitmap_image.Tpo $(DEPDIR)/create_bmp_for_stripline_coupler-align_bitmap_image.Po
1257@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='align_bitmap_image.c' object='create_bmp_for_stripline_coupler-align_bitmap_image.o' libtool=no @AMDEPBACKSLASH@
1258@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1259@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -c -o create_bmp_for_stripline_coupler-align_bitmap_image.o `test -f 'align_bitmap_image.c' || echo '$(srcdir)/'`align_bitmap_image.c
1260
1261create_bmp_for_stripline_coupler-align_bitmap_image.obj: align_bitmap_image.c
1262@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -MT create_bmp_for_stripline_coupler-align_bitmap_image.obj -MD -MP -MF $(DEPDIR)/create_bmp_for_stripline_coupler-align_bitmap_image.Tpo -c -o create_bmp_for_stripline_coupler-align_bitmap_image.obj `if test -f 'align_bitmap_image.c'; then $(CYGPATH_W) 'align_bitmap_image.c'; else $(CYGPATH_W) '$(srcdir)/align_bitmap_image.c'; fi`
1263@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/create_bmp_for_stripline_coupler-align_bitmap_image.Tpo $(DEPDIR)/create_bmp_for_stripline_coupler-align_bitmap_image.Po
1264@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='align_bitmap_image.c' object='create_bmp_for_stripline_coupler-align_bitmap_image.obj' libtool=no @AMDEPBACKSLASH@
1265@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1266@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -c -o create_bmp_for_stripline_coupler-align_bitmap_image.obj `if test -f 'align_bitmap_image.c'; then $(CYGPATH_W) 'align_bitmap_image.c'; else $(CYGPATH_W) '$(srcdir)/align_bitmap_image.c'; fi`
1267
1268create_bmp_for_stripline_coupler-fill_make_coupler.o: fill_make_coupler.c
1269@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -MT create_bmp_for_stripline_coupler-fill_make_coupler.o -MD -MP -MF $(DEPDIR)/create_bmp_for_stripline_coupler-fill_make_coupler.Tpo -c -o create_bmp_for_stripline_coupler-fill_make_coupler.o `test -f 'fill_make_coupler.c' || echo '$(srcdir)/'`fill_make_coupler.c
1270@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/create_bmp_for_stripline_coupler-fill_make_coupler.Tpo $(DEPDIR)/create_bmp_for_stripline_coupler-fill_make_coupler.Po
1271@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='fill_make_coupler.c' object='create_bmp_for_stripline_coupler-fill_make_coupler.o' libtool=no @AMDEPBACKSLASH@
1272@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1273@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -c -o create_bmp_for_stripline_coupler-fill_make_coupler.o `test -f 'fill_make_coupler.c' || echo '$(srcdir)/'`fill_make_coupler.c
1274
1275create_bmp_for_stripline_coupler-fill_make_coupler.obj: fill_make_coupler.c
1276@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -MT create_bmp_for_stripline_coupler-fill_make_coupler.obj -MD -MP -MF $(DEPDIR)/create_bmp_for_stripline_coupler-fill_make_coupler.Tpo -c -o create_bmp_for_stripline_coupler-fill_make_coupler.obj `if test -f 'fill_make_coupler.c'; then $(CYGPATH_W) 'fill_make_coupler.c'; else $(CYGPATH_W) '$(srcdir)/fill_make_coupler.c'; fi`
1277@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/create_bmp_for_stripline_coupler-fill_make_coupler.Tpo $(DEPDIR)/create_bmp_for_stripline_coupler-fill_make_coupler.Po
1278@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='fill_make_coupler.c' object='create_bmp_for_stripline_coupler-fill_make_coupler.obj' libtool=no @AMDEPBACKSLASH@
1279@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1280@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -c -o create_bmp_for_stripline_coupler-fill_make_coupler.obj `if test -f 'fill_make_coupler.c'; then $(CYGPATH_W) 'fill_make_coupler.c'; else $(CYGPATH_W) '$(srcdir)/fill_make_coupler.c'; fi`
1281
1282create_bmp_for_stripline_coupler-check_error.o: check_error.c
1283@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -MT create_bmp_for_stripline_coupler-check_error.o -MD -MP -MF $(DEPDIR)/create_bmp_for_stripline_coupler-check_error.Tpo -c -o create_bmp_for_stripline_coupler-check_error.o `test -f 'check_error.c' || echo '$(srcdir)/'`check_error.c
1284@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/create_bmp_for_stripline_coupler-check_error.Tpo $(DEPDIR)/create_bmp_for_stripline_coupler-check_error.Po
1285@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='check_error.c' object='create_bmp_for_stripline_coupler-check_error.o' libtool=no @AMDEPBACKSLASH@
1286@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1287@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -c -o create_bmp_for_stripline_coupler-check_error.o `test -f 'check_error.c' || echo '$(srcdir)/'`check_error.c
1288
1289create_bmp_for_stripline_coupler-check_error.obj: check_error.c
1290@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -MT create_bmp_for_stripline_coupler-check_error.obj -MD -MP -MF $(DEPDIR)/create_bmp_for_stripline_coupler-check_error.Tpo -c -o create_bmp_for_stripline_coupler-check_error.obj `if test -f 'check_error.c'; then $(CYGPATH_W) 'check_error.c'; else $(CYGPATH_W) '$(srcdir)/check_error.c'; fi`
1291@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/create_bmp_for_stripline_coupler-check_error.Tpo $(DEPDIR)/create_bmp_for_stripline_coupler-check_error.Po
1292@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='check_error.c' object='create_bmp_for_stripline_coupler-check_error.obj' libtool=no @AMDEPBACKSLASH@
1293@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1294@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -c -o create_bmp_for_stripline_coupler-check_error.obj `if test -f 'check_error.c'; then $(CYGPATH_W) 'check_error.c'; else $(CYGPATH_W) '$(srcdir)/check_error.c'; fi`
1295
1296create_bmp_for_stripline_coupler-exit_with_msg_and_exit_code.o: exit_with_msg_and_exit_code.c
1297@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -MT create_bmp_for_stripline_coupler-exit_with_msg_and_exit_code.o -MD -MP -MF $(DEPDIR)/create_bmp_for_stripline_coupler-exit_with_msg_and_exit_code.Tpo -c -o create_bmp_for_stripline_coupler-exit_with_msg_and_exit_code.o `test -f 'exit_with_msg_and_exit_code.c' || echo '$(srcdir)/'`exit_with_msg_and_exit_code.c
1298@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/create_bmp_for_stripline_coupler-exit_with_msg_and_exit_code.Tpo $(DEPDIR)/create_bmp_for_stripline_coupler-exit_with_msg_and_exit_code.Po
1299@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='exit_with_msg_and_exit_code.c' object='create_bmp_for_stripline_coupler-exit_with_msg_and_exit_code.o' libtool=no @AMDEPBACKSLASH@
1300@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1301@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -c -o create_bmp_for_stripline_coupler-exit_with_msg_and_exit_code.o `test -f 'exit_with_msg_and_exit_code.c' || echo '$(srcdir)/'`exit_with_msg_and_exit_code.c
1302
1303create_bmp_for_stripline_coupler-exit_with_msg_and_exit_code.obj: exit_with_msg_and_exit_code.c
1304@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -MT create_bmp_for_stripline_coupler-exit_with_msg_and_exit_code.obj -MD -MP -MF $(DEPDIR)/create_bmp_for_stripline_coupler-exit_with_msg_and_exit_code.Tpo -c -o create_bmp_for_stripline_coupler-exit_with_msg_and_exit_code.obj `if test -f 'exit_with_msg_and_exit_code.c'; then $(CYGPATH_W) 'exit_with_msg_and_exit_code.c'; else $(CYGPATH_W) '$(srcdir)/exit_with_msg_and_exit_code.c'; fi`
1305@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/create_bmp_for_stripline_coupler-exit_with_msg_and_exit_code.Tpo $(DEPDIR)/create_bmp_for_stripline_coupler-exit_with_msg_and_exit_code.Po
1306@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='exit_with_msg_and_exit_code.c' object='create_bmp_for_stripline_coupler-exit_with_msg_and_exit_code.obj' libtool=no @AMDEPBACKSLASH@
1307@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1308@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -c -o create_bmp_for_stripline_coupler-exit_with_msg_and_exit_code.obj `if test -f 'exit_with_msg_and_exit_code.c'; then $(CYGPATH_W) 'exit_with_msg_and_exit_code.c'; else $(CYGPATH_W) '$(srcdir)/exit_with_msg_and_exit_code.c'; fi`
1309
1310create_bmp_for_stripline_coupler-get_Er1_and_Er2_colours.o: get_Er1_and_Er2_colours.c
1311@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -MT create_bmp_for_stripline_coupler-get_Er1_and_Er2_colours.o -MD -MP -MF $(DEPDIR)/create_bmp_for_stripline_coupler-get_Er1_and_Er2_colours.Tpo -c -o create_bmp_for_stripline_coupler-get_Er1_and_Er2_colours.o `test -f 'get_Er1_and_Er2_colours.c' || echo '$(srcdir)/'`get_Er1_and_Er2_colours.c
1312@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/create_bmp_for_stripline_coupler-get_Er1_and_Er2_colours.Tpo $(DEPDIR)/create_bmp_for_stripline_coupler-get_Er1_and_Er2_colours.Po
1313@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='get_Er1_and_Er2_colours.c' object='create_bmp_for_stripline_coupler-get_Er1_and_Er2_colours.o' libtool=no @AMDEPBACKSLASH@
1314@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1315@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -c -o create_bmp_for_stripline_coupler-get_Er1_and_Er2_colours.o `test -f 'get_Er1_and_Er2_colours.c' || echo '$(srcdir)/'`get_Er1_and_Er2_colours.c
1316
1317create_bmp_for_stripline_coupler-get_Er1_and_Er2_colours.obj: get_Er1_and_Er2_colours.c
1318@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -MT create_bmp_for_stripline_coupler-get_Er1_and_Er2_colours.obj -MD -MP -MF $(DEPDIR)/create_bmp_for_stripline_coupler-get_Er1_and_Er2_colours.Tpo -c -o create_bmp_for_stripline_coupler-get_Er1_and_Er2_colours.obj `if test -f 'get_Er1_and_Er2_colours.c'; then $(CYGPATH_W) 'get_Er1_and_Er2_colours.c'; else $(CYGPATH_W) '$(srcdir)/get_Er1_and_Er2_colours.c'; fi`
1319@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/create_bmp_for_stripline_coupler-get_Er1_and_Er2_colours.Tpo $(DEPDIR)/create_bmp_for_stripline_coupler-get_Er1_and_Er2_colours.Po
1320@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='get_Er1_and_Er2_colours.c' object='create_bmp_for_stripline_coupler-get_Er1_and_Er2_colours.obj' libtool=no @AMDEPBACKSLASH@
1321@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1322@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -c -o create_bmp_for_stripline_coupler-get_Er1_and_Er2_colours.obj `if test -f 'get_Er1_and_Er2_colours.c'; then $(CYGPATH_W) 'get_Er1_and_Er2_colours.c'; else $(CYGPATH_W) '$(srcdir)/get_Er1_and_Er2_colours.c'; fi`
1323
1324create_bmp_for_stripline_coupler-print_copyright.o: print_copyright.c
1325@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -MT create_bmp_for_stripline_coupler-print_copyright.o -MD -MP -MF $(DEPDIR)/create_bmp_for_stripline_coupler-print_copyright.Tpo -c -o create_bmp_for_stripline_coupler-print_copyright.o `test -f 'print_copyright.c' || echo '$(srcdir)/'`print_copyright.c
1326@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/create_bmp_for_stripline_coupler-print_copyright.Tpo $(DEPDIR)/create_bmp_for_stripline_coupler-print_copyright.Po
1327@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='print_copyright.c' object='create_bmp_for_stripline_coupler-print_copyright.o' libtool=no @AMDEPBACKSLASH@
1328@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1329@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -c -o create_bmp_for_stripline_coupler-print_copyright.o `test -f 'print_copyright.c' || echo '$(srcdir)/'`print_copyright.c
1330
1331create_bmp_for_stripline_coupler-print_copyright.obj: print_copyright.c
1332@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -MT create_bmp_for_stripline_coupler-print_copyright.obj -MD -MP -MF $(DEPDIR)/create_bmp_for_stripline_coupler-print_copyright.Tpo -c -o create_bmp_for_stripline_coupler-print_copyright.obj `if test -f 'print_copyright.c'; then $(CYGPATH_W) 'print_copyright.c'; else $(CYGPATH_W) '$(srcdir)/print_copyright.c'; fi`
1333@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/create_bmp_for_stripline_coupler-print_copyright.Tpo $(DEPDIR)/create_bmp_for_stripline_coupler-print_copyright.Po
1334@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='print_copyright.c' object='create_bmp_for_stripline_coupler-print_copyright.obj' libtool=no @AMDEPBACKSLASH@
1335@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1336@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -c -o create_bmp_for_stripline_coupler-print_copyright.obj `if test -f 'print_copyright.c'; then $(CYGPATH_W) 'print_copyright.c'; else $(CYGPATH_W) '$(srcdir)/print_copyright.c'; fi`
1337
1338create_bmp_for_stripline_coupler-gsl_sf_ellint_Kcomp.o: gsl_sf_ellint_Kcomp.c
1339@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -MT create_bmp_for_stripline_coupler-gsl_sf_ellint_Kcomp.o -MD -MP -MF $(DEPDIR)/create_bmp_for_stripline_coupler-gsl_sf_ellint_Kcomp.Tpo -c -o create_bmp_for_stripline_coupler-gsl_sf_ellint_Kcomp.o `test -f 'gsl_sf_ellint_Kcomp.c' || echo '$(srcdir)/'`gsl_sf_ellint_Kcomp.c
1340@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/create_bmp_for_stripline_coupler-gsl_sf_ellint_Kcomp.Tpo $(DEPDIR)/create_bmp_for_stripline_coupler-gsl_sf_ellint_Kcomp.Po
1341@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='gsl_sf_ellint_Kcomp.c' object='create_bmp_for_stripline_coupler-gsl_sf_ellint_Kcomp.o' libtool=no @AMDEPBACKSLASH@
1342@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1343@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -c -o create_bmp_for_stripline_coupler-gsl_sf_ellint_Kcomp.o `test -f 'gsl_sf_ellint_Kcomp.c' || echo '$(srcdir)/'`gsl_sf_ellint_Kcomp.c
1344
1345create_bmp_for_stripline_coupler-gsl_sf_ellint_Kcomp.obj: gsl_sf_ellint_Kcomp.c
1346@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -MT create_bmp_for_stripline_coupler-gsl_sf_ellint_Kcomp.obj -MD -MP -MF $(DEPDIR)/create_bmp_for_stripline_coupler-gsl_sf_ellint_Kcomp.Tpo -c -o create_bmp_for_stripline_coupler-gsl_sf_ellint_Kcomp.obj `if test -f 'gsl_sf_ellint_Kcomp.c'; then $(CYGPATH_W) 'gsl_sf_ellint_Kcomp.c'; else $(CYGPATH_W) '$(srcdir)/gsl_sf_ellint_Kcomp.c'; fi`
1347@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/create_bmp_for_stripline_coupler-gsl_sf_ellint_Kcomp.Tpo $(DEPDIR)/create_bmp_for_stripline_coupler-gsl_sf_ellint_Kcomp.Po
1348@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='gsl_sf_ellint_Kcomp.c' object='create_bmp_for_stripline_coupler-gsl_sf_ellint_Kcomp.obj' libtool=no @AMDEPBACKSLASH@
1349@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1350@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(create_bmp_for_stripline_coupler_CFLAGS) $(CFLAGS) -c -o create_bmp_for_stripline_coupler-gsl_sf_ellint_Kcomp.obj `if test -f 'gsl_sf_ellint_Kcomp.c'; then $(CYGPATH_W) 'gsl_sf_ellint_Kcomp.c'; else $(CYGPATH_W) '$(srcdir)/gsl_sf_ellint_Kcomp.c'; fi`
1351
1352design_coupler-design_coupler.o: design_coupler.c
1353@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(design_coupler_CFLAGS) $(CFLAGS) -MT design_coupler-design_coupler.o -MD -MP -MF $(DEPDIR)/design_coupler-design_coupler.Tpo -c -o design_coupler-design_coupler.o `test -f 'design_coupler.c' || echo '$(srcdir)/'`design_coupler.c
1354@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/design_coupler-design_coupler.Tpo $(DEPDIR)/design_coupler-design_coupler.Po
1355@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='design_coupler.c' object='design_coupler-design_coupler.o' libtool=no @AMDEPBACKSLASH@
1356@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1357@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(design_coupler_CFLAGS) $(CFLAGS) -c -o design_coupler-design_coupler.o `test -f 'design_coupler.c' || echo '$(srcdir)/'`design_coupler.c
1358
1359design_coupler-design_coupler.obj: design_coupler.c
1360@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(design_coupler_CFLAGS) $(CFLAGS) -MT design_coupler-design_coupler.obj -MD -MP -MF $(DEPDIR)/design_coupler-design_coupler.Tpo -c -o design_coupler-design_coupler.obj `if test -f 'design_coupler.c'; then $(CYGPATH_W) 'design_coupler.c'; else $(CYGPATH_W) '$(srcdir)/design_coupler.c'; fi`
1361@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/design_coupler-design_coupler.Tpo $(DEPDIR)/design_coupler-design_coupler.Po
1362@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='design_coupler.c' object='design_coupler-design_coupler.obj' libtool=no @AMDEPBACKSLASH@
1363@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1364@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(design_coupler_CFLAGS) $(CFLAGS) -c -o design_coupler-design_coupler.obj `if test -f 'design_coupler.c'; then $(CYGPATH_W) 'design_coupler.c'; else $(CYGPATH_W) '$(srcdir)/design_coupler.c'; fi`
1365
1366design_coupler-usage_design_coupler.o: usage_design_coupler.c
1367@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(design_coupler_CFLAGS) $(CFLAGS) -MT design_coupler-usage_design_coupler.o -MD -MP -MF $(DEPDIR)/design_coupler-usage_design_coupler.Tpo -c -o design_coupler-usage_design_coupler.o `test -f 'usage_design_coupler.c' || echo '$(srcdir)/'`usage_design_coupler.c
1368@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/design_coupler-usage_design_coupler.Tpo $(DEPDIR)/design_coupler-usage_design_coupler.Po
1369@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='usage_design_coupler.c' object='design_coupler-usage_design_coupler.o' libtool=no @AMDEPBACKSLASH@
1370@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1371@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(design_coupler_CFLAGS) $(CFLAGS) -c -o design_coupler-usage_design_coupler.o `test -f 'usage_design_coupler.c' || echo '$(srcdir)/'`usage_design_coupler.c
1372
1373design_coupler-usage_design_coupler.obj: usage_design_coupler.c
1374@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(design_coupler_CFLAGS) $(CFLAGS) -MT design_coupler-usage_design_coupler.obj -MD -MP -MF $(DEPDIR)/design_coupler-usage_design_coupler.Tpo -c -o design_coupler-usage_design_coupler.obj `if test -f 'usage_design_coupler.c'; then $(CYGPATH_W) 'usage_design_coupler.c'; else $(CYGPATH_W) '$(srcdir)/usage_design_coupler.c'; fi`
1375@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/design_coupler-usage_design_coupler.Tpo $(DEPDIR)/design_coupler-usage_design_coupler.Po
1376@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='usage_design_coupler.c' object='design_coupler-usage_design_coupler.obj' libtool=no @AMDEPBACKSLASH@
1377@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1378@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(design_coupler_CFLAGS) $(CFLAGS) -c -o design_coupler-usage_design_coupler.obj `if test -f 'usage_design_coupler.c'; then $(CYGPATH_W) 'usage_design_coupler.c'; else $(CYGPATH_W) '$(srcdir)/usage_design_coupler.c'; fi`
1379
1380design_coupler-get_options.o: get_options.c
1381@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(design_coupler_CFLAGS) $(CFLAGS) -MT design_coupler-get_options.o -MD -MP -MF $(DEPDIR)/design_coupler-get_options.Tpo -c -o design_coupler-get_options.o `test -f 'get_options.c' || echo '$(srcdir)/'`get_options.c
1382@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/design_coupler-get_options.Tpo $(DEPDIR)/design_coupler-get_options.Po
1383@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='get_options.c' object='design_coupler-get_options.o' libtool=no @AMDEPBACKSLASH@
1384@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1385@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(design_coupler_CFLAGS) $(CFLAGS) -c -o design_coupler-get_options.o `test -f 'get_options.c' || echo '$(srcdir)/'`get_options.c
1386
1387design_coupler-get_options.obj: get_options.c
1388@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(design_coupler_CFLAGS) $(CFLAGS) -MT design_coupler-get_options.obj -MD -MP -MF $(DEPDIR)/design_coupler-get_options.Tpo -c -o design_coupler-get_options.obj `if test -f 'get_options.c'; then $(CYGPATH_W) 'get_options.c'; else $(CYGPATH_W) '$(srcdir)/get_options.c'; fi`
1389@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/design_coupler-get_options.Tpo $(DEPDIR)/design_coupler-get_options.Po
1390@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='get_options.c' object='design_coupler-get_options.obj' libtool=no @AMDEPBACKSLASH@
1391@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1392@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(design_coupler_CFLAGS) $(CFLAGS) -c -o design_coupler-get_options.obj `if test -f 'get_options.c'; then $(CYGPATH_W) 'get_options.c'; else $(CYGPATH_W) '$(srcdir)/get_options.c'; fi`
1393
1394design_coupler-print_copyright.o: print_copyright.c
1395@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(design_coupler_CFLAGS) $(CFLAGS) -MT design_coupler-print_copyright.o -MD -MP -MF $(DEPDIR)/design_coupler-print_copyright.Tpo -c -o design_coupler-print_copyright.o `test -f 'print_copyright.c' || echo '$(srcdir)/'`print_copyright.c
1396@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/design_coupler-print_copyright.Tpo $(DEPDIR)/design_coupler-print_copyright.Po
1397@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='print_copyright.c' object='design_coupler-print_copyright.o' libtool=no @AMDEPBACKSLASH@
1398@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1399@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(design_coupler_CFLAGS) $(CFLAGS) -c -o design_coupler-print_copyright.o `test -f 'print_copyright.c' || echo '$(srcdir)/'`print_copyright.c
1400
1401design_coupler-print_copyright.obj: print_copyright.c
1402@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(design_coupler_CFLAGS) $(CFLAGS) -MT design_coupler-print_copyright.obj -MD -MP -MF $(DEPDIR)/design_coupler-print_copyright.Tpo -c -o design_coupler-print_copyright.obj `if test -f 'print_copyright.c'; then $(CYGPATH_W) 'print_copyright.c'; else $(CYGPATH_W) '$(srcdir)/print_copyright.c'; fi`
1403@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/design_coupler-print_copyright.Tpo $(DEPDIR)/design_coupler-print_copyright.Po
1404@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='print_copyright.c' object='design_coupler-print_copyright.obj' libtool=no @AMDEPBACKSLASH@
1405@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1406@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(design_coupler_CFLAGS) $(CFLAGS) -c -o design_coupler-print_copyright.obj `if test -f 'print_copyright.c'; then $(CYGPATH_W) 'print_copyright.c'; else $(CYGPATH_W) '$(srcdir)/print_copyright.c'; fi`
1407
1408design_coupler-calculate_Zodd_and_Zeven.o: calculate_Zodd_and_Zeven.c
1409@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(design_coupler_CFLAGS) $(CFLAGS) -MT design_coupler-calculate_Zodd_and_Zeven.o -MD -MP -MF $(DEPDIR)/design_coupler-calculate_Zodd_and_Zeven.Tpo -c -o design_coupler-calculate_Zodd_and_Zeven.o `test -f 'calculate_Zodd_and_Zeven.c' || echo '$(srcdir)/'`calculate_Zodd_and_Zeven.c
1410@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/design_coupler-calculate_Zodd_and_Zeven.Tpo $(DEPDIR)/design_coupler-calculate_Zodd_and_Zeven.Po
1411@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='calculate_Zodd_and_Zeven.c' object='design_coupler-calculate_Zodd_and_Zeven.o' libtool=no @AMDEPBACKSLASH@
1412@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1413@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(design_coupler_CFLAGS) $(CFLAGS) -c -o design_coupler-calculate_Zodd_and_Zeven.o `test -f 'calculate_Zodd_and_Zeven.c' || echo '$(srcdir)/'`calculate_Zodd_and_Zeven.c
1414
1415design_coupler-calculate_Zodd_and_Zeven.obj: calculate_Zodd_and_Zeven.c
1416@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(design_coupler_CFLAGS) $(CFLAGS) -MT design_coupler-calculate_Zodd_and_Zeven.obj -MD -MP -MF $(DEPDIR)/design_coupler-calculate_Zodd_and_Zeven.Tpo -c -o design_coupler-calculate_Zodd_and_Zeven.obj `if test -f 'calculate_Zodd_and_Zeven.c'; then $(CYGPATH_W) 'calculate_Zodd_and_Zeven.c'; else $(CYGPATH_W) '$(srcdir)/calculate_Zodd_and_Zeven.c'; fi`
1417@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/design_coupler-calculate_Zodd_and_Zeven.Tpo $(DEPDIR)/design_coupler-calculate_Zodd_and_Zeven.Po
1418@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='calculate_Zodd_and_Zeven.c' object='design_coupler-calculate_Zodd_and_Zeven.obj' libtool=no @AMDEPBACKSLASH@
1419@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1420@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(design_coupler_CFLAGS) $(CFLAGS) -c -o design_coupler-calculate_Zodd_and_Zeven.obj `if test -f 'calculate_Zodd_and_Zeven.c'; then $(CYGPATH_W) 'calculate_Zodd_and_Zeven.c'; else $(CYGPATH_W) '$(srcdir)/calculate_Zodd_and_Zeven.c'; fi`
1421
1422design_coupler-give_examples_of_using_design_coupler.o: give_examples_of_using_design_coupler.c
1423@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(design_coupler_CFLAGS) $(CFLAGS) -MT design_coupler-give_examples_of_using_design_coupler.o -MD -MP -MF $(DEPDIR)/design_coupler-give_examples_of_using_design_coupler.Tpo -c -o design_coupler-give_examples_of_using_design_coupler.o `test -f 'give_examples_of_using_design_coupler.c' || echo '$(srcdir)/'`give_examples_of_using_design_coupler.c
1424@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/design_coupler-give_examples_of_using_design_coupler.Tpo $(DEPDIR)/design_coupler-give_examples_of_using_design_coupler.Po
1425@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='give_examples_of_using_design_coupler.c' object='design_coupler-give_examples_of_using_design_coupler.o' libtool=no @AMDEPBACKSLASH@
1426@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1427@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(design_coupler_CFLAGS) $(CFLAGS) -c -o design_coupler-give_examples_of_using_design_coupler.o `test -f 'give_examples_of_using_design_coupler.c' || echo '$(srcdir)/'`give_examples_of_using_design_coupler.c
1428
1429design_coupler-give_examples_of_using_design_coupler.obj: give_examples_of_using_design_coupler.c
1430@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(design_coupler_CFLAGS) $(CFLAGS) -MT design_coupler-give_examples_of_using_design_coupler.obj -MD -MP -MF $(DEPDIR)/design_coupler-give_examples_of_using_design_coupler.Tpo -c -o design_coupler-give_examples_of_using_design_coupler.obj `if test -f 'give_examples_of_using_design_coupler.c'; then $(CYGPATH_W) 'give_examples_of_using_design_coupler.c'; else $(CYGPATH_W) '$(srcdir)/give_examples_of_using_design_coupler.c'; fi`
1431@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/design_coupler-give_examples_of_using_design_coupler.Tpo $(DEPDIR)/design_coupler-give_examples_of_using_design_coupler.Po
1432@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='give_examples_of_using_design_coupler.c' object='design_coupler-give_examples_of_using_design_coupler.obj' libtool=no @AMDEPBACKSLASH@
1433@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1434@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(design_coupler_CFLAGS) $(CFLAGS) -c -o design_coupler-give_examples_of_using_design_coupler.obj `if test -f 'give_examples_of_using_design_coupler.c'; then $(CYGPATH_W) 'give_examples_of_using_design_coupler.c'; else $(CYGPATH_W) '$(srcdir)/give_examples_of_using_design_coupler.c'; fi`
1435
1436design_coupler-exit_with_msg_and_exit_code.o: exit_with_msg_and_exit_code.c
1437@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(design_coupler_CFLAGS) $(CFLAGS) -MT design_coupler-exit_with_msg_and_exit_code.o -MD -MP -MF $(DEPDIR)/design_coupler-exit_with_msg_and_exit_code.Tpo -c -o design_coupler-exit_with_msg_and_exit_code.o `test -f 'exit_with_msg_and_exit_code.c' || echo '$(srcdir)/'`exit_with_msg_and_exit_code.c
1438@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/design_coupler-exit_with_msg_and_exit_code.Tpo $(DEPDIR)/design_coupler-exit_with_msg_and_exit_code.Po
1439@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='exit_with_msg_and_exit_code.c' object='design_coupler-exit_with_msg_and_exit_code.o' libtool=no @AMDEPBACKSLASH@
1440@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1441@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(design_coupler_CFLAGS) $(CFLAGS) -c -o design_coupler-exit_with_msg_and_exit_code.o `test -f 'exit_with_msg_and_exit_code.c' || echo '$(srcdir)/'`exit_with_msg_and_exit_code.c
1442
1443design_coupler-exit_with_msg_and_exit_code.obj: exit_with_msg_and_exit_code.c
1444@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(design_coupler_CFLAGS) $(CFLAGS) -MT design_coupler-exit_with_msg_and_exit_code.obj -MD -MP -MF $(DEPDIR)/design_coupler-exit_with_msg_and_exit_code.Tpo -c -o design_coupler-exit_with_msg_and_exit_code.obj `if test -f 'exit_with_msg_and_exit_code.c'; then $(CYGPATH_W) 'exit_with_msg_and_exit_code.c'; else $(CYGPATH_W) '$(srcdir)/exit_with_msg_and_exit_code.c'; fi`
1445@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/design_coupler-exit_with_msg_and_exit_code.Tpo $(DEPDIR)/design_coupler-exit_with_msg_and_exit_code.Po
1446@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='exit_with_msg_and_exit_code.c' object='design_coupler-exit_with_msg_and_exit_code.obj' libtool=no @AMDEPBACKSLASH@
1447@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1448@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(design_coupler_CFLAGS) $(CFLAGS) -c -o design_coupler-exit_with_msg_and_exit_code.obj `if test -f 'exit_with_msg_and_exit_code.c'; then $(CYGPATH_W) 'exit_with_msg_and_exit_code.c'; else $(CYGPATH_W) '$(srcdir)/exit_with_msg_and_exit_code.c'; fi`
1449
1450design_coupler-gsl_sf_ellint_Kcomp.o: gsl_sf_ellint_Kcomp.c
1451@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(design_coupler_CFLAGS) $(CFLAGS) -MT design_coupler-gsl_sf_ellint_Kcomp.o -MD -MP -MF $(DEPDIR)/design_coupler-gsl_sf_ellint_Kcomp.Tpo -c -o design_coupler-gsl_sf_ellint_Kcomp.o `test -f 'gsl_sf_ellint_Kcomp.c' || echo '$(srcdir)/'`gsl_sf_ellint_Kcomp.c
1452@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/design_coupler-gsl_sf_ellint_Kcomp.Tpo $(DEPDIR)/design_coupler-gsl_sf_ellint_Kcomp.Po
1453@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='gsl_sf_ellint_Kcomp.c' object='design_coupler-gsl_sf_ellint_Kcomp.o' libtool=no @AMDEPBACKSLASH@
1454@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1455@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(design_coupler_CFLAGS) $(CFLAGS) -c -o design_coupler-gsl_sf_ellint_Kcomp.o `test -f 'gsl_sf_ellint_Kcomp.c' || echo '$(srcdir)/'`gsl_sf_ellint_Kcomp.c
1456
1457design_coupler-gsl_sf_ellint_Kcomp.obj: gsl_sf_ellint_Kcomp.c
1458@am__fastdepCC_TRUE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(design_coupler_CFLAGS) $(CFLAGS) -MT design_coupler-gsl_sf_ellint_Kcomp.obj -MD -MP -MF $(DEPDIR)/design_coupler-gsl_sf_ellint_Kcomp.Tpo -c -o design_coupler-gsl_sf_ellint_Kcomp.obj `if test -f 'gsl_sf_ellint_Kcomp.c'; then $(CYGPATH_W) 'gsl_sf_ellint_Kcomp.c'; else $(CYGPATH_W) '$(srcdir)/gsl_sf_ellint_Kcomp.c'; fi`
1459@am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/design_coupler-gsl_sf_ellint_Kcomp.Tpo $(DEPDIR)/design_coupler-gsl_sf_ellint_Kcomp.Po
1460@AMDEP_TRUE@@am__fastdepCC_FALSE@	source='gsl_sf_ellint_Kcomp.c' object='design_coupler-gsl_sf_ellint_Kcomp.obj' libtool=no @AMDEPBACKSLASH@
1461@AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1462@am__fastdepCC_FALSE@	$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(design_coupler_CFLAGS) $(CFLAGS) -c -o design_coupler-gsl_sf_ellint_Kcomp.obj `if test -f 'gsl_sf_ellint_Kcomp.c'; then $(CYGPATH_W) 'gsl_sf_ellint_Kcomp.c'; else $(CYGPATH_W) '$(srcdir)/gsl_sf_ellint_Kcomp.c'; fi`
1463
1464ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
1465	list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
1466	unique=`for i in $$list; do \
1467	    if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
1468	  done | \
1469	  $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
1470	      END { if (nonempty) { for (i in files) print i; }; }'`; \
1471	mkid -fID $$unique
1472tags: TAGS
1473
1474TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
1475		$(TAGS_FILES) $(LISP)
1476	set x; \
1477	here=`pwd`; \
1478	list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
1479	unique=`for i in $$list; do \
1480	    if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
1481	  done | \
1482	  $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
1483	      END { if (nonempty) { for (i in files) print i; }; }'`; \
1484	shift; \
1485	if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
1486	  test -n "$$unique" || unique=$$empty_fix; \
1487	  if test $$# -gt 0; then \
1488	    $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
1489	      "$$@" $$unique; \
1490	  else \
1491	    $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
1492	      $$unique; \
1493	  fi; \
1494	fi
1495ctags: CTAGS
1496CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
1497		$(TAGS_FILES) $(LISP)
1498	list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
1499	unique=`for i in $$list; do \
1500	    if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
1501	  done | \
1502	  $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
1503	      END { if (nonempty) { for (i in files) print i; }; }'`; \
1504	test -z "$(CTAGS_ARGS)$$unique" \
1505	  || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
1506	     $$unique
1507
1508GTAGS:
1509	here=`$(am__cd) $(top_builddir) && pwd` \
1510	  && $(am__cd) $(top_srcdir) \
1511	  && gtags -i $(GTAGS_ARGS) "$$here"
1512
1513distclean-tags:
1514	-rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
1515
1516distdir: $(DISTFILES)
1517	@srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
1518	topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
1519	list='$(DISTFILES)'; \
1520	  dist_files=`for file in $$list; do echo $$file; done | \
1521	  sed -e "s|^$$srcdirstrip/||;t" \
1522	      -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
1523	case $$dist_files in \
1524	  */*) $(MKDIR_P) `echo "$$dist_files" | \
1525			   sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
1526			   sort -u` ;; \
1527	esac; \
1528	for file in $$dist_files; do \
1529	  if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
1530	  if test -d $$d/$$file; then \
1531	    dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
1532	    if test -d "$(distdir)/$$file"; then \
1533	      find "$(distdir)/$$file" -type d ! -perm -700 -exec chmod u+rwx {} \;; \
1534	    fi; \
1535	    if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
1536	      cp -fpR $(srcdir)/$$file "$(distdir)$$dir" || exit 1; \
1537	      find "$(distdir)/$$file" -type d ! -perm -700 -exec chmod u+rwx {} \;; \
1538	    fi; \
1539	    cp -fpR $$d/$$file "$(distdir)$$dir" || exit 1; \
1540	  else \
1541	    test -f "$(distdir)/$$file" \
1542	    || cp -p $$d/$$file "$(distdir)/$$file" \
1543	    || exit 1; \
1544	  fi; \
1545	done
1546check-am: all-am
1547check: check-am
1548all-am: Makefile $(PROGRAMS)
1549installdirs:
1550	for dir in "$(DESTDIR)$(bindir)"; do \
1551	  test -z "$$dir" || $(MKDIR_P) "$$dir"; \
1552	done
1553install: install-am
1554install-exec: install-exec-am
1555install-data: install-data-am
1556uninstall: uninstall-am
1557
1558install-am: all-am
1559	@$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
1560
1561installcheck: installcheck-am
1562install-strip:
1563	$(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
1564	  install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
1565	  `test -z '$(STRIP)' || \
1566	    echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
1567mostlyclean-generic:
1568
1569clean-generic:
1570
1571distclean-generic:
1572	-test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
1573	-test . = "$(srcdir)" || test -z "$(CONFIG_CLEAN_VPATH_FILES)" || rm -f $(CONFIG_CLEAN_VPATH_FILES)
1574
1575maintainer-clean-generic:
1576	@echo "This command is intended for maintainers to use"
1577	@echo "it deletes files that may require special tools to rebuild."
1578clean: clean-am
1579
1580clean-am: clean-binPROGRAMS clean-generic mostlyclean-am
1581
1582distclean: distclean-am
1583	-rm -rf ./$(DEPDIR)
1584	-rm -f Makefile
1585distclean-am: clean-am distclean-compile distclean-generic \
1586	distclean-tags
1587
1588dvi: dvi-am
1589
1590dvi-am:
1591
1592html: html-am
1593
1594html-am:
1595
1596info: info-am
1597
1598info-am:
1599
1600install-data-am:
1601
1602install-dvi: install-dvi-am
1603
1604install-dvi-am:
1605
1606install-exec-am: install-binPROGRAMS
1607
1608install-html: install-html-am
1609
1610install-html-am:
1611
1612install-info: install-info-am
1613
1614install-info-am:
1615
1616install-man:
1617
1618install-pdf: install-pdf-am
1619
1620install-pdf-am:
1621
1622install-ps: install-ps-am
1623
1624install-ps-am:
1625
1626installcheck-am:
1627
1628maintainer-clean: maintainer-clean-am
1629	-rm -rf ./$(DEPDIR)
1630	-rm -f Makefile
1631maintainer-clean-am: distclean-am maintainer-clean-generic
1632
1633mostlyclean: mostlyclean-am
1634
1635mostlyclean-am: mostlyclean-compile mostlyclean-generic
1636
1637pdf: pdf-am
1638
1639pdf-am:
1640
1641ps: ps-am
1642
1643ps-am:
1644
1645uninstall-am: uninstall-binPROGRAMS
1646
1647.MAKE: install-am install-strip
1648
1649.PHONY: CTAGS GTAGS all all-am check check-am clean clean-binPROGRAMS \
1650	clean-generic ctags distclean distclean-compile \
1651	distclean-generic distclean-tags distdir dvi dvi-am html \
1652	html-am info info-am install install-am install-binPROGRAMS \
1653	install-data install-data-am install-dvi install-dvi-am \
1654	install-exec install-exec-am install-html install-html-am \
1655	install-info install-info-am install-man install-pdf \
1656	install-pdf-am install-ps install-ps-am install-strip \
1657	installcheck installcheck-am installdirs maintainer-clean \
1658	maintainer-clean-generic mostlyclean mostlyclean-compile \
1659	mostlyclean-generic pdf pdf-am ps ps-am tags uninstall \
1660	uninstall-am uninstall-binPROGRAMS
1661
1662
1663# Tell versions [3.59,3.63) of GNU make to not export all variables.
1664# Otherwise a system limit (for SysV at least) may be exceeded.
1665.NOEXPORT:
1666