1module foo();
2endmodule
3
4foo f();
5initial $finish;
6